Unnamed: 0
int64
1
143k
directory
stringlengths
39
203
repo_id
float64
143k
552M
file_name
stringlengths
3
107
extension
stringclasses
6 values
no_lines
int64
5
304k
max_line_len
int64
15
21.6k
generation_keywords
stringclasses
3 values
license_whitelist_keywords
stringclasses
16 values
license_blacklist_keywords
stringclasses
4 values
icarus_module_spans
stringlengths
8
6.16k
icarus_exception
stringlengths
12
124
verilator_xml_output_path
stringlengths
60
60
verilator_exception
stringlengths
33
1.53M
file_index
int64
0
315k
snippet_type
stringclasses
2 values
snippet
stringlengths
21
9.27M
snippet_def
stringlengths
9
30.3k
snippet_body
stringlengths
10
9.27M
gh_stars
int64
0
1.61k
1
data/full_repos/permissive/42434302/ivltests/assign_mem1.v
42,434,302
assign_mem1.v
v
38
80
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b'%Warning-WIDTH: data/full_repos/permissive/42434302/ivltests/assign_mem1.v:30: Operator ASSIGN expects 16 bits on the Assign RHS, but Assign RHS\'s VARREF \'i\' generates 5 bits.\n : ... In instance test\n is[0] = i; \n ^\n ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.\n%Error: Exiting due to 1 warning(s)\n'
206,779
module
module test; reg [15:0] is[1:0]; reg [4:0] i; initial begin i = 0; is[0] = i; if (is[0] !== 16'd0) begin $display("FAILED -- is[0] --> %b", is[0]); $finish; end $display("PASSED"); end endmodule
module test;
reg [15:0] is[1:0]; reg [4:0] i; initial begin i = 0; is[0] = i; if (is[0] !== 16'd0) begin $display("FAILED -- is[0] --> %b", is[0]); $finish; end $display("PASSED"); end endmodule
0
2
data/full_repos/permissive/146618947/valid_tarea6/mux_tb.v
146,618,947
mux_tb.v
v
53
61
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b'%Error: data/full_repos/permissive/146618947/valid_tarea6/mux_tb.v:2: Cannot find include file: mux.v\n`include "mux.v" \n ^~~~~~~\n ... Looked in:\n data/full_repos/permissive/146618947/valid_tarea6,data/full_repos/permissive/146618947/mux.v\n data/full_repos/permissive/146618947/valid_tarea6,data/full_repos/permissive/146618947/mux.v.v\n data/full_repos/permissive/146618947/valid_tarea6,data/full_repos/permissive/146618947/mux.v.sv\n mux.v\n mux.v.v\n mux.v.sv\n obj_dir/mux.v\n obj_dir/mux.v.v\n obj_dir/mux.v.sv\n%Error: data/full_repos/permissive/146618947/valid_tarea6/mux_tb.v:3: Cannot find include file: mux_tester.v\n`include "mux_tester.v" \n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/146618947/valid_tarea6/mux_tb.v:4: Cannot find include file: mux_estructural.v\n`include "mux_estructural.v" \n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/146618947/valid_tarea6/mux_tb.v:5: Cannot find include file: mycells.v\n`include "mycells.v" \n ^~~~~~~~~~~\n%Error: Exiting due to 4 error(s)\n'
24,111
module
module mux_tb; wire reset_L, clk; wire [3:0] data_0; wire [3:0] data_1; wire [3:0] data_out_cond; wire [3:0] data_out_est; wire valid_0; wire valid_1; wire valid_out_cond; wire valid_out_est; mux mux_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out (data_out_cond), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out (valid_out_cond) ); mux_tester mux_tester_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out_cond (data_out_cond), .data_out_est (data_out_est), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out_cond (valid_out_cond), .valid_out_est (valid_out_est) ); mux_estructural mux_est_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out (data_out_est), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out (valid_out_est) ); endmodule
module mux_tb;
wire reset_L, clk; wire [3:0] data_0; wire [3:0] data_1; wire [3:0] data_out_cond; wire [3:0] data_out_est; wire valid_0; wire valid_1; wire valid_out_cond; wire valid_out_est; mux mux_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out (data_out_cond), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out (valid_out_cond) ); mux_tester mux_tester_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out_cond (data_out_cond), .data_out_est (data_out_est), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out_cond (valid_out_cond), .valid_out_est (valid_out_est) ); mux_estructural mux_est_( .reset_L (reset_L), .clk (clk), .data_0 (data_0), .data_1 (data_1), .data_out (data_out_est), .valid_0 (valid_0), .valid_1 (valid_1), .valid_out (valid_out_est) ); endmodule
0
3
data/full_repos/permissive/119715647/sim/Display7Seg.v
119,715,647
Display7Seg.v
v
22
64
[]
[]
[]
[(1, 22)]
null
null
1: b"%Error: data/full_repos/permissive/119715647/sim/Display7Seg.v:13: Cannot find file containing module: 'Dec7Seg'\n Dec7Seg _display0(userID_score[31:28],display7), \n ^~~~~~~\n ... Looked in:\n data/full_repos/permissive/119715647/sim,data/full_repos/permissive/119715647/Dec7Seg\n data/full_repos/permissive/119715647/sim,data/full_repos/permissive/119715647/Dec7Seg.v\n data/full_repos/permissive/119715647/sim,data/full_repos/permissive/119715647/Dec7Seg.sv\n Dec7Seg\n Dec7Seg.v\n Dec7Seg.sv\n obj_dir/Dec7Seg\n obj_dir/Dec7Seg.v\n obj_dir/Dec7Seg.sv\n%Error: Exiting due to 1 error(s)\n"
8,821
module
module Display7Seg( input [31:0] userID_score, output[6:0] display0, output[6:0] display1, output[6:0] display2, output[6:0] display3, output[6:0] display4, output[6:0] display5, output[6:0] display6, output[6:0] display7 ); Dec7Seg _display0(userID_score[31:28],display7), _display1(userID_score[27:24],display6), _display2(userID_score[23:20],display5), _display3(userID_score[19:16],display4), _display4(userID_score[15:12],display3), _display5(userID_score[11:8],display2), _display6(userID_score[7:4],display1), _display7(userID_score[3:0],display0); endmodule
module Display7Seg( input [31:0] userID_score, output[6:0] display0, output[6:0] display1, output[6:0] display2, output[6:0] display3, output[6:0] display4, output[6:0] display5, output[6:0] display6, output[6:0] display7 );
Dec7Seg _display0(userID_score[31:28],display7), _display1(userID_score[27:24],display6), _display2(userID_score[23:20],display5), _display3(userID_score[19:16],display4), _display4(userID_score[15:12],display3), _display5(userID_score[11:8],display2), _display6(userID_score[7:4],display1), _display7(userID_score[3:0],display0); endmodule
0
4
data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v
509,468,557
NV_NVDLA_RT_csc2cmac_a.v
v
8,049
220
[]
['open hardware license', 'open source project']
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b'%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2146: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_sel_d1 <= \'bx; \n ^~\n ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2207: Unsized constant being X/Z extended to 128 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_mask_d1 <= \'bx; \n ^~~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2265: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data0_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2275: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data1_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2285: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data2_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2295: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data3_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2305: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data4_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2315: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data5_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2325: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data6_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2335: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data7_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2345: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data8_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2355: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data9_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2365: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data10_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2375: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data11_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2385: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data12_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2395: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data13_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2405: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data14_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2415: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data15_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2425: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data16_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2435: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data17_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2445: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data18_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2455: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data19_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2465: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data20_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2475: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data21_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2485: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data22_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2495: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data23_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2505: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data24_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2515: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data25_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2525: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data26_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2535: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data27_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2545: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data28_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2555: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data29_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2565: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data30_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2575: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data31_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2585: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data32_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2595: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data33_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2605: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data34_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2615: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data35_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2625: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data36_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2635: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data37_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2645: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data38_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2655: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data39_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2665: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data40_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2675: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data41_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2685: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data42_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2695: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data43_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2705: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data44_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2715: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data45_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2725: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data46_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2735: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data47_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2745: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data48_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2755: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data49_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2765: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data50_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2775: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data51_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2785: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data52_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2795: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data53_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2805: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data54_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2815: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data55_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2825: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data56_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2835: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data57_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2845: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data58_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2855: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data59_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2865: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data60_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2875: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data61_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2885: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data62_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2895: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data63_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2905: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data64_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2915: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data65_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2925: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data66_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2935: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data67_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2945: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data68_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2955: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data69_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2965: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data70_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2975: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data71_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2985: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data72_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:2995: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data73_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3005: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data74_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3015: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data75_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3025: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data76_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3035: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data77_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3045: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data78_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3055: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data79_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3065: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data80_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3075: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data81_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3085: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data82_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3095: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data83_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3105: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data84_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3115: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data85_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3125: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data86_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3135: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data87_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3145: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data88_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3155: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data89_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3165: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data90_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3175: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data91_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3185: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data92_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3195: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data93_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3205: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data94_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3215: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data95_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3225: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data96_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3235: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data97_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3245: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data98_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3255: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data99_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3265: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data100_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3275: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data101_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3285: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data102_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3295: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data103_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3305: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data104_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3315: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data105_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3325: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data106_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3335: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data107_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3345: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data108_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3355: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data109_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3365: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data110_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3375: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data111_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3385: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data112_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3395: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data113_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3405: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data114_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3415: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data115_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3425: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data116_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3435: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data117_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3445: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data118_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3455: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data119_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3465: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data120_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3475: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data121_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3485: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data122_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3495: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data123_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3505: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data124_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3515: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data125_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3525: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data126_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3535: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data127_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3557: Operator ASSIGNDLY expects 9 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_pd_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3618: Unsized constant being X/Z extended to 128 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_mask_d1 <= \'bx; \n ^~~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3676: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data0_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3686: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data1_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3696: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data2_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3706: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data3_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3716: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data4_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3726: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data5_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3736: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data6_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3746: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data7_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3756: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data8_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3766: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data9_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3776: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data10_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3786: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data11_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3796: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data12_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3806: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data13_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3816: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data14_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3826: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data15_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3836: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data16_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3846: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data17_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3856: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data18_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3866: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data19_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3876: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data20_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3886: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data21_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3896: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data22_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3906: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data23_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3916: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data24_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3926: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data25_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3936: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data26_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3946: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data27_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3956: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data28_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3966: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data29_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3976: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data30_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3986: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data31_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:3996: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data32_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4006: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data33_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4016: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data34_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4026: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data35_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4036: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data36_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4046: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data37_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4056: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data38_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4066: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data39_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4076: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data40_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4086: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data41_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4096: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data42_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4106: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data43_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4116: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data44_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4126: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data45_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4136: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data46_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4146: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data47_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4156: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data48_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4166: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data49_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4176: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data50_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4186: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data51_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4196: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data52_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4206: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data53_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4216: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data54_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4226: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data55_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4236: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data56_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4246: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data57_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4256: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data58_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4266: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data59_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4276: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data60_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4286: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data61_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4296: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data62_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4306: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data63_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4316: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data64_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4326: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data65_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4336: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data66_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4346: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data67_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4356: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data68_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4366: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data69_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4376: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data70_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4386: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data71_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4396: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data72_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4406: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data73_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4416: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data74_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4426: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data75_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4436: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data76_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4446: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data77_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4456: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data78_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4466: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data79_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4476: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data80_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4486: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data81_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4496: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data82_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4506: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data83_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4516: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data84_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4526: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data85_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4536: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data86_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4546: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data87_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4556: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data88_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4566: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data89_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4576: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data90_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4586: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data91_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4596: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data92_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4606: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data93_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4616: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data94_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4626: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data95_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4636: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data96_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4646: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data97_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4656: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data98_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4666: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data99_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4676: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data100_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4686: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data101_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4696: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data102_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4706: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data103_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4716: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data104_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4726: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data105_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4736: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data106_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4746: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data107_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4756: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data108_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4766: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data109_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4776: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data110_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4786: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data111_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4796: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data112_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4806: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data113_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4816: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data114_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4826: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data115_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4836: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data116_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4846: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data117_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4856: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data118_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4866: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data119_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4876: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data120_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4886: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data121_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4896: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data122_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4906: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data123_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4916: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data124_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4926: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data125_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4936: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data126_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4946: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data127_d1 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:4968: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_sel_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5029: Unsized constant being X/Z extended to 128 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_mask_d2 <= \'bx; \n ^~~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5087: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data0_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5097: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data1_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5107: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data2_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5117: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data3_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5127: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data4_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5137: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data5_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5147: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data6_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5157: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data7_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5167: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data8_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5177: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data9_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5187: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data10_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5197: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data11_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5207: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data12_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5217: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data13_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5227: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data14_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5237: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data15_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5247: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data16_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5257: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data17_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5267: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data18_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5277: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data19_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5287: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data20_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5297: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data21_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5307: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data22_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5317: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data23_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5327: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data24_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5337: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data25_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5347: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data26_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5357: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data27_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5367: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data28_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5377: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data29_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5387: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data30_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5397: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data31_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5407: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data32_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5417: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data33_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5427: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data34_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5437: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data35_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5447: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data36_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5457: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data37_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5467: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data38_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5477: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data39_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5487: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data40_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5497: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data41_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5507: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data42_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5517: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data43_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5527: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data44_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5537: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data45_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5547: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data46_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5557: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data47_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5567: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data48_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5577: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data49_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5587: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data50_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5597: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data51_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5607: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data52_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5617: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data53_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5627: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data54_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5637: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data55_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5647: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data56_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5657: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data57_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5667: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data58_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5677: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data59_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5687: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data60_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5697: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data61_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5707: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data62_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5717: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data63_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5727: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data64_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5737: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data65_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5747: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data66_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5757: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data67_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5767: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data68_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5777: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data69_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5787: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data70_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5797: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data71_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5807: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data72_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5817: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data73_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5827: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data74_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5837: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data75_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5847: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data76_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5857: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data77_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5867: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data78_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5877: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data79_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5887: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data80_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5897: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data81_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5907: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data82_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5917: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data83_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5927: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data84_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5937: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data85_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5947: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data86_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5957: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data87_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5967: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data88_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5977: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data89_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5987: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data90_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:5997: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data91_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6007: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data92_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6017: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data93_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6027: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data94_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6037: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data95_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6047: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data96_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6057: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data97_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6067: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data98_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6077: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data99_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6087: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data100_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6097: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data101_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6107: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data102_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6117: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data103_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6127: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data104_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6137: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data105_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6147: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data106_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6157: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data107_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6167: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data108_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6177: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data109_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6187: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data110_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6197: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data111_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6207: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data112_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6217: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data113_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6227: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data114_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6237: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data115_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6247: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data116_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6257: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data117_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6267: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data118_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6277: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data119_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6287: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data120_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6297: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data121_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6307: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data122_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6317: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data123_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6327: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data124_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6337: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data125_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6347: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data126_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6357: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_wt_data127_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6379: Operator ASSIGNDLY expects 9 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_pd_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6440: Unsized constant being X/Z extended to 128 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_mask_d2 <= \'bx; \n ^~~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6498: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data0_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6508: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data1_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6518: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data2_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6528: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data3_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6538: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data4_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6548: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data5_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6558: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data6_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6568: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data7_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6578: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data8_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6588: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data9_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6598: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data10_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6608: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data11_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6618: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data12_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6628: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data13_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6638: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data14_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6648: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data15_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6658: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data16_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6668: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data17_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6678: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data18_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6688: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data19_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6698: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data20_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6708: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data21_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6718: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data22_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6728: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data23_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6738: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data24_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6748: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data25_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6758: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data26_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6768: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data27_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6778: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data28_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6788: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data29_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6798: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data30_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6808: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data31_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6818: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data32_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6828: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data33_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6838: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data34_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6848: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data35_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6858: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data36_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6868: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data37_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6878: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data38_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6888: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data39_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6898: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data40_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6908: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data41_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6918: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data42_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6928: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data43_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6938: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data44_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6948: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data45_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6958: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data46_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6968: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data47_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6978: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data48_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6988: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data49_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:6998: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data50_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7008: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data51_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7018: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data52_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7028: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data53_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7038: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data54_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7048: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data55_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7058: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data56_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7068: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data57_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7078: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data58_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7088: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data59_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7098: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data60_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7108: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data61_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7118: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data62_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7128: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data63_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7138: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data64_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7148: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data65_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7158: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data66_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7168: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data67_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7178: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data68_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7188: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data69_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7198: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data70_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7208: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data71_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7218: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data72_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7228: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data73_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7238: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data74_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7248: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data75_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7258: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data76_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7268: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data77_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7278: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data78_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7288: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data79_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7298: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data80_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7308: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data81_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7318: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data82_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7328: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data83_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7338: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data84_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7348: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data85_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7358: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data86_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7368: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data87_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7378: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data88_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7388: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data89_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7398: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data90_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7408: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data91_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7418: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data92_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7428: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data93_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7438: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data94_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7448: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data95_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7458: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data96_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7468: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data97_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7478: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data98_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7488: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data99_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7498: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data100_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7508: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data101_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7518: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data102_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7528: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data103_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7538: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data104_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7548: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data105_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7558: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data106_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7568: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data107_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7578: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data108_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7588: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data109_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7598: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data110_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7608: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data111_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7618: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data112_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7628: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data113_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7638: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data114_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7648: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data115_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7658: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data116_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7668: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data117_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7678: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data118_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7688: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data119_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7698: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data120_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7708: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data121_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7718: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data122_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7728: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data123_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7738: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data124_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7748: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data125_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7758: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data126_d2 <= \'bx; \n ^~\n%Warning-WIDTH: data/full_repos/permissive/509468557/generators/nvdla/src/main/resources/hw/vmod/nvdla/retiming/NV_NVDLA_RT_csc2cmac_a.v:7768: Operator ASSIGNDLY expects 8 bits on the Assign RHS, but Assign RHS\'s CONST \'?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\' generates 32 bits.\n : ... In instance NV_NVDLA_RT_csc2cmac_a\n sc2mac_dat_data127_d2 <= \'bx; \n ^~\n%Error: Exiting due to 520 warning(s)\n'
258,877
module
module NV_NVDLA_RT_csc2cmac_a ( nvdla_core_clk ,nvdla_core_rstn ,sc2mac_wt_src_pvld ,sc2mac_wt_src_mask ,sc2mac_wt_src_data0 ,sc2mac_wt_src_data1 ,sc2mac_wt_src_data2 ,sc2mac_wt_src_data3 ,sc2mac_wt_src_data4 ,sc2mac_wt_src_data5 ,sc2mac_wt_src_data6 ,sc2mac_wt_src_data7 ,sc2mac_wt_src_data8 ,sc2mac_wt_src_data9 ,sc2mac_wt_src_data10 ,sc2mac_wt_src_data11 ,sc2mac_wt_src_data12 ,sc2mac_wt_src_data13 ,sc2mac_wt_src_data14 ,sc2mac_wt_src_data15 ,sc2mac_wt_src_data16 ,sc2mac_wt_src_data17 ,sc2mac_wt_src_data18 ,sc2mac_wt_src_data19 ,sc2mac_wt_src_data20 ,sc2mac_wt_src_data21 ,sc2mac_wt_src_data22 ,sc2mac_wt_src_data23 ,sc2mac_wt_src_data24 ,sc2mac_wt_src_data25 ,sc2mac_wt_src_data26 ,sc2mac_wt_src_data27 ,sc2mac_wt_src_data28 ,sc2mac_wt_src_data29 ,sc2mac_wt_src_data30 ,sc2mac_wt_src_data31 ,sc2mac_wt_src_data32 ,sc2mac_wt_src_data33 ,sc2mac_wt_src_data34 ,sc2mac_wt_src_data35 ,sc2mac_wt_src_data36 ,sc2mac_wt_src_data37 ,sc2mac_wt_src_data38 ,sc2mac_wt_src_data39 ,sc2mac_wt_src_data40 ,sc2mac_wt_src_data41 ,sc2mac_wt_src_data42 ,sc2mac_wt_src_data43 ,sc2mac_wt_src_data44 ,sc2mac_wt_src_data45 ,sc2mac_wt_src_data46 ,sc2mac_wt_src_data47 ,sc2mac_wt_src_data48 ,sc2mac_wt_src_data49 ,sc2mac_wt_src_data50 ,sc2mac_wt_src_data51 ,sc2mac_wt_src_data52 ,sc2mac_wt_src_data53 ,sc2mac_wt_src_data54 ,sc2mac_wt_src_data55 ,sc2mac_wt_src_data56 ,sc2mac_wt_src_data57 ,sc2mac_wt_src_data58 ,sc2mac_wt_src_data59 ,sc2mac_wt_src_data60 ,sc2mac_wt_src_data61 ,sc2mac_wt_src_data62 ,sc2mac_wt_src_data63 ,sc2mac_wt_src_data64 ,sc2mac_wt_src_data65 ,sc2mac_wt_src_data66 ,sc2mac_wt_src_data67 ,sc2mac_wt_src_data68 ,sc2mac_wt_src_data69 ,sc2mac_wt_src_data70 ,sc2mac_wt_src_data71 ,sc2mac_wt_src_data72 ,sc2mac_wt_src_data73 ,sc2mac_wt_src_data74 ,sc2mac_wt_src_data75 ,sc2mac_wt_src_data76 ,sc2mac_wt_src_data77 ,sc2mac_wt_src_data78 ,sc2mac_wt_src_data79 ,sc2mac_wt_src_data80 ,sc2mac_wt_src_data81 ,sc2mac_wt_src_data82 ,sc2mac_wt_src_data83 ,sc2mac_wt_src_data84 ,sc2mac_wt_src_data85 ,sc2mac_wt_src_data86 ,sc2mac_wt_src_data87 ,sc2mac_wt_src_data88 ,sc2mac_wt_src_data89 ,sc2mac_wt_src_data90 ,sc2mac_wt_src_data91 ,sc2mac_wt_src_data92 ,sc2mac_wt_src_data93 ,sc2mac_wt_src_data94 ,sc2mac_wt_src_data95 ,sc2mac_wt_src_data96 ,sc2mac_wt_src_data97 ,sc2mac_wt_src_data98 ,sc2mac_wt_src_data99 ,sc2mac_wt_src_data100 ,sc2mac_wt_src_data101 ,sc2mac_wt_src_data102 ,sc2mac_wt_src_data103 ,sc2mac_wt_src_data104 ,sc2mac_wt_src_data105 ,sc2mac_wt_src_data106 ,sc2mac_wt_src_data107 ,sc2mac_wt_src_data108 ,sc2mac_wt_src_data109 ,sc2mac_wt_src_data110 ,sc2mac_wt_src_data111 ,sc2mac_wt_src_data112 ,sc2mac_wt_src_data113 ,sc2mac_wt_src_data114 ,sc2mac_wt_src_data115 ,sc2mac_wt_src_data116 ,sc2mac_wt_src_data117 ,sc2mac_wt_src_data118 ,sc2mac_wt_src_data119 ,sc2mac_wt_src_data120 ,sc2mac_wt_src_data121 ,sc2mac_wt_src_data122 ,sc2mac_wt_src_data123 ,sc2mac_wt_src_data124 ,sc2mac_wt_src_data125 ,sc2mac_wt_src_data126 ,sc2mac_wt_src_data127 ,sc2mac_wt_src_sel ,sc2mac_dat_src_pvld ,sc2mac_dat_src_mask ,sc2mac_dat_src_data0 ,sc2mac_dat_src_data1 ,sc2mac_dat_src_data2 ,sc2mac_dat_src_data3 ,sc2mac_dat_src_data4 ,sc2mac_dat_src_data5 ,sc2mac_dat_src_data6 ,sc2mac_dat_src_data7 ,sc2mac_dat_src_data8 ,sc2mac_dat_src_data9 ,sc2mac_dat_src_data10 ,sc2mac_dat_src_data11 ,sc2mac_dat_src_data12 ,sc2mac_dat_src_data13 ,sc2mac_dat_src_data14 ,sc2mac_dat_src_data15 ,sc2mac_dat_src_data16 ,sc2mac_dat_src_data17 ,sc2mac_dat_src_data18 ,sc2mac_dat_src_data19 ,sc2mac_dat_src_data20 ,sc2mac_dat_src_data21 ,sc2mac_dat_src_data22 ,sc2mac_dat_src_data23 ,sc2mac_dat_src_data24 ,sc2mac_dat_src_data25 ,sc2mac_dat_src_data26 ,sc2mac_dat_src_data27 ,sc2mac_dat_src_data28 ,sc2mac_dat_src_data29 ,sc2mac_dat_src_data30 ,sc2mac_dat_src_data31 ,sc2mac_dat_src_data32 ,sc2mac_dat_src_data33 ,sc2mac_dat_src_data34 ,sc2mac_dat_src_data35 ,sc2mac_dat_src_data36 ,sc2mac_dat_src_data37 ,sc2mac_dat_src_data38 ,sc2mac_dat_src_data39 ,sc2mac_dat_src_data40 ,sc2mac_dat_src_data41 ,sc2mac_dat_src_data42 ,sc2mac_dat_src_data43 ,sc2mac_dat_src_data44 ,sc2mac_dat_src_data45 ,sc2mac_dat_src_data46 ,sc2mac_dat_src_data47 ,sc2mac_dat_src_data48 ,sc2mac_dat_src_data49 ,sc2mac_dat_src_data50 ,sc2mac_dat_src_data51 ,sc2mac_dat_src_data52 ,sc2mac_dat_src_data53 ,sc2mac_dat_src_data54 ,sc2mac_dat_src_data55 ,sc2mac_dat_src_data56 ,sc2mac_dat_src_data57 ,sc2mac_dat_src_data58 ,sc2mac_dat_src_data59 ,sc2mac_dat_src_data60 ,sc2mac_dat_src_data61 ,sc2mac_dat_src_data62 ,sc2mac_dat_src_data63 ,sc2mac_dat_src_data64 ,sc2mac_dat_src_data65 ,sc2mac_dat_src_data66 ,sc2mac_dat_src_data67 ,sc2mac_dat_src_data68 ,sc2mac_dat_src_data69 ,sc2mac_dat_src_data70 ,sc2mac_dat_src_data71 ,sc2mac_dat_src_data72 ,sc2mac_dat_src_data73 ,sc2mac_dat_src_data74 ,sc2mac_dat_src_data75 ,sc2mac_dat_src_data76 ,sc2mac_dat_src_data77 ,sc2mac_dat_src_data78 ,sc2mac_dat_src_data79 ,sc2mac_dat_src_data80 ,sc2mac_dat_src_data81 ,sc2mac_dat_src_data82 ,sc2mac_dat_src_data83 ,sc2mac_dat_src_data84 ,sc2mac_dat_src_data85 ,sc2mac_dat_src_data86 ,sc2mac_dat_src_data87 ,sc2mac_dat_src_data88 ,sc2mac_dat_src_data89 ,sc2mac_dat_src_data90 ,sc2mac_dat_src_data91 ,sc2mac_dat_src_data92 ,sc2mac_dat_src_data93 ,sc2mac_dat_src_data94 ,sc2mac_dat_src_data95 ,sc2mac_dat_src_data96 ,sc2mac_dat_src_data97 ,sc2mac_dat_src_data98 ,sc2mac_dat_src_data99 ,sc2mac_dat_src_data100 ,sc2mac_dat_src_data101 ,sc2mac_dat_src_data102 ,sc2mac_dat_src_data103 ,sc2mac_dat_src_data104 ,sc2mac_dat_src_data105 ,sc2mac_dat_src_data106 ,sc2mac_dat_src_data107 ,sc2mac_dat_src_data108 ,sc2mac_dat_src_data109 ,sc2mac_dat_src_data110 ,sc2mac_dat_src_data111 ,sc2mac_dat_src_data112 ,sc2mac_dat_src_data113 ,sc2mac_dat_src_data114 ,sc2mac_dat_src_data115 ,sc2mac_dat_src_data116 ,sc2mac_dat_src_data117 ,sc2mac_dat_src_data118 ,sc2mac_dat_src_data119 ,sc2mac_dat_src_data120 ,sc2mac_dat_src_data121 ,sc2mac_dat_src_data122 ,sc2mac_dat_src_data123 ,sc2mac_dat_src_data124 ,sc2mac_dat_src_data125 ,sc2mac_dat_src_data126 ,sc2mac_dat_src_data127 ,sc2mac_dat_src_pd ,sc2mac_wt_dst_pvld ,sc2mac_wt_dst_mask ,sc2mac_wt_dst_data0 ,sc2mac_wt_dst_data1 ,sc2mac_wt_dst_data2 ,sc2mac_wt_dst_data3 ,sc2mac_wt_dst_data4 ,sc2mac_wt_dst_data5 ,sc2mac_wt_dst_data6 ,sc2mac_wt_dst_data7 ,sc2mac_wt_dst_data8 ,sc2mac_wt_dst_data9 ,sc2mac_wt_dst_data10 ,sc2mac_wt_dst_data11 ,sc2mac_wt_dst_data12 ,sc2mac_wt_dst_data13 ,sc2mac_wt_dst_data14 ,sc2mac_wt_dst_data15 ,sc2mac_wt_dst_data16 ,sc2mac_wt_dst_data17 ,sc2mac_wt_dst_data18 ,sc2mac_wt_dst_data19 ,sc2mac_wt_dst_data20 ,sc2mac_wt_dst_data21 ,sc2mac_wt_dst_data22 ,sc2mac_wt_dst_data23 ,sc2mac_wt_dst_data24 ,sc2mac_wt_dst_data25 ,sc2mac_wt_dst_data26 ,sc2mac_wt_dst_data27 ,sc2mac_wt_dst_data28 ,sc2mac_wt_dst_data29 ,sc2mac_wt_dst_data30 ,sc2mac_wt_dst_data31 ,sc2mac_wt_dst_data32 ,sc2mac_wt_dst_data33 ,sc2mac_wt_dst_data34 ,sc2mac_wt_dst_data35 ,sc2mac_wt_dst_data36 ,sc2mac_wt_dst_data37 ,sc2mac_wt_dst_data38 ,sc2mac_wt_dst_data39 ,sc2mac_wt_dst_data40 ,sc2mac_wt_dst_data41 ,sc2mac_wt_dst_data42 ,sc2mac_wt_dst_data43 ,sc2mac_wt_dst_data44 ,sc2mac_wt_dst_data45 ,sc2mac_wt_dst_data46 ,sc2mac_wt_dst_data47 ,sc2mac_wt_dst_data48 ,sc2mac_wt_dst_data49 ,sc2mac_wt_dst_data50 ,sc2mac_wt_dst_data51 ,sc2mac_wt_dst_data52 ,sc2mac_wt_dst_data53 ,sc2mac_wt_dst_data54 ,sc2mac_wt_dst_data55 ,sc2mac_wt_dst_data56 ,sc2mac_wt_dst_data57 ,sc2mac_wt_dst_data58 ,sc2mac_wt_dst_data59 ,sc2mac_wt_dst_data60 ,sc2mac_wt_dst_data61 ,sc2mac_wt_dst_data62 ,sc2mac_wt_dst_data63 ,sc2mac_wt_dst_data64 ,sc2mac_wt_dst_data65 ,sc2mac_wt_dst_data66 ,sc2mac_wt_dst_data67 ,sc2mac_wt_dst_data68 ,sc2mac_wt_dst_data69 ,sc2mac_wt_dst_data70 ,sc2mac_wt_dst_data71 ,sc2mac_wt_dst_data72 ,sc2mac_wt_dst_data73 ,sc2mac_wt_dst_data74 ,sc2mac_wt_dst_data75 ,sc2mac_wt_dst_data76 ,sc2mac_wt_dst_data77 ,sc2mac_wt_dst_data78 ,sc2mac_wt_dst_data79 ,sc2mac_wt_dst_data80 ,sc2mac_wt_dst_data81 ,sc2mac_wt_dst_data82 ,sc2mac_wt_dst_data83 ,sc2mac_wt_dst_data84 ,sc2mac_wt_dst_data85 ,sc2mac_wt_dst_data86 ,sc2mac_wt_dst_data87 ,sc2mac_wt_dst_data88 ,sc2mac_wt_dst_data89 ,sc2mac_wt_dst_data90 ,sc2mac_wt_dst_data91 ,sc2mac_wt_dst_data92 ,sc2mac_wt_dst_data93 ,sc2mac_wt_dst_data94 ,sc2mac_wt_dst_data95 ,sc2mac_wt_dst_data96 ,sc2mac_wt_dst_data97 ,sc2mac_wt_dst_data98 ,sc2mac_wt_dst_data99 ,sc2mac_wt_dst_data100 ,sc2mac_wt_dst_data101 ,sc2mac_wt_dst_data102 ,sc2mac_wt_dst_data103 ,sc2mac_wt_dst_data104 ,sc2mac_wt_dst_data105 ,sc2mac_wt_dst_data106 ,sc2mac_wt_dst_data107 ,sc2mac_wt_dst_data108 ,sc2mac_wt_dst_data109 ,sc2mac_wt_dst_data110 ,sc2mac_wt_dst_data111 ,sc2mac_wt_dst_data112 ,sc2mac_wt_dst_data113 ,sc2mac_wt_dst_data114 ,sc2mac_wt_dst_data115 ,sc2mac_wt_dst_data116 ,sc2mac_wt_dst_data117 ,sc2mac_wt_dst_data118 ,sc2mac_wt_dst_data119 ,sc2mac_wt_dst_data120 ,sc2mac_wt_dst_data121 ,sc2mac_wt_dst_data122 ,sc2mac_wt_dst_data123 ,sc2mac_wt_dst_data124 ,sc2mac_wt_dst_data125 ,sc2mac_wt_dst_data126 ,sc2mac_wt_dst_data127 ,sc2mac_wt_dst_sel ,sc2mac_dat_dst_pvld ,sc2mac_dat_dst_mask ,sc2mac_dat_dst_data0 ,sc2mac_dat_dst_data1 ,sc2mac_dat_dst_data2 ,sc2mac_dat_dst_data3 ,sc2mac_dat_dst_data4 ,sc2mac_dat_dst_data5 ,sc2mac_dat_dst_data6 ,sc2mac_dat_dst_data7 ,sc2mac_dat_dst_data8 ,sc2mac_dat_dst_data9 ,sc2mac_dat_dst_data10 ,sc2mac_dat_dst_data11 ,sc2mac_dat_dst_data12 ,sc2mac_dat_dst_data13 ,sc2mac_dat_dst_data14 ,sc2mac_dat_dst_data15 ,sc2mac_dat_dst_data16 ,sc2mac_dat_dst_data17 ,sc2mac_dat_dst_data18 ,sc2mac_dat_dst_data19 ,sc2mac_dat_dst_data20 ,sc2mac_dat_dst_data21 ,sc2mac_dat_dst_data22 ,sc2mac_dat_dst_data23 ,sc2mac_dat_dst_data24 ,sc2mac_dat_dst_data25 ,sc2mac_dat_dst_data26 ,sc2mac_dat_dst_data27 ,sc2mac_dat_dst_data28 ,sc2mac_dat_dst_data29 ,sc2mac_dat_dst_data30 ,sc2mac_dat_dst_data31 ,sc2mac_dat_dst_data32 ,sc2mac_dat_dst_data33 ,sc2mac_dat_dst_data34 ,sc2mac_dat_dst_data35 ,sc2mac_dat_dst_data36 ,sc2mac_dat_dst_data37 ,sc2mac_dat_dst_data38 ,sc2mac_dat_dst_data39 ,sc2mac_dat_dst_data40 ,sc2mac_dat_dst_data41 ,sc2mac_dat_dst_data42 ,sc2mac_dat_dst_data43 ,sc2mac_dat_dst_data44 ,sc2mac_dat_dst_data45 ,sc2mac_dat_dst_data46 ,sc2mac_dat_dst_data47 ,sc2mac_dat_dst_data48 ,sc2mac_dat_dst_data49 ,sc2mac_dat_dst_data50 ,sc2mac_dat_dst_data51 ,sc2mac_dat_dst_data52 ,sc2mac_dat_dst_data53 ,sc2mac_dat_dst_data54 ,sc2mac_dat_dst_data55 ,sc2mac_dat_dst_data56 ,sc2mac_dat_dst_data57 ,sc2mac_dat_dst_data58 ,sc2mac_dat_dst_data59 ,sc2mac_dat_dst_data60 ,sc2mac_dat_dst_data61 ,sc2mac_dat_dst_data62 ,sc2mac_dat_dst_data63 ,sc2mac_dat_dst_data64 ,sc2mac_dat_dst_data65 ,sc2mac_dat_dst_data66 ,sc2mac_dat_dst_data67 ,sc2mac_dat_dst_data68 ,sc2mac_dat_dst_data69 ,sc2mac_dat_dst_data70 ,sc2mac_dat_dst_data71 ,sc2mac_dat_dst_data72 ,sc2mac_dat_dst_data73 ,sc2mac_dat_dst_data74 ,sc2mac_dat_dst_data75 ,sc2mac_dat_dst_data76 ,sc2mac_dat_dst_data77 ,sc2mac_dat_dst_data78 ,sc2mac_dat_dst_data79 ,sc2mac_dat_dst_data80 ,sc2mac_dat_dst_data81 ,sc2mac_dat_dst_data82 ,sc2mac_dat_dst_data83 ,sc2mac_dat_dst_data84 ,sc2mac_dat_dst_data85 ,sc2mac_dat_dst_data86 ,sc2mac_dat_dst_data87 ,sc2mac_dat_dst_data88 ,sc2mac_dat_dst_data89 ,sc2mac_dat_dst_data90 ,sc2mac_dat_dst_data91 ,sc2mac_dat_dst_data92 ,sc2mac_dat_dst_data93 ,sc2mac_dat_dst_data94 ,sc2mac_dat_dst_data95 ,sc2mac_dat_dst_data96 ,sc2mac_dat_dst_data97 ,sc2mac_dat_dst_data98 ,sc2mac_dat_dst_data99 ,sc2mac_dat_dst_data100 ,sc2mac_dat_dst_data101 ,sc2mac_dat_dst_data102 ,sc2mac_dat_dst_data103 ,sc2mac_dat_dst_data104 ,sc2mac_dat_dst_data105 ,sc2mac_dat_dst_data106 ,sc2mac_dat_dst_data107 ,sc2mac_dat_dst_data108 ,sc2mac_dat_dst_data109 ,sc2mac_dat_dst_data110 ,sc2mac_dat_dst_data111 ,sc2mac_dat_dst_data112 ,sc2mac_dat_dst_data113 ,sc2mac_dat_dst_data114 ,sc2mac_dat_dst_data115 ,sc2mac_dat_dst_data116 ,sc2mac_dat_dst_data117 ,sc2mac_dat_dst_data118 ,sc2mac_dat_dst_data119 ,sc2mac_dat_dst_data120 ,sc2mac_dat_dst_data121 ,sc2mac_dat_dst_data122 ,sc2mac_dat_dst_data123 ,sc2mac_dat_dst_data124 ,sc2mac_dat_dst_data125 ,sc2mac_dat_dst_data126 ,sc2mac_dat_dst_data127 ,sc2mac_dat_dst_pd ); input nvdla_core_clk; input nvdla_core_rstn; input sc2mac_wt_src_pvld; input [127:0] sc2mac_wt_src_mask; input [7:0] sc2mac_wt_src_data0; input [7:0] sc2mac_wt_src_data1; input [7:0] sc2mac_wt_src_data2; input [7:0] sc2mac_wt_src_data3; input [7:0] sc2mac_wt_src_data4; input [7:0] sc2mac_wt_src_data5; input [7:0] sc2mac_wt_src_data6; input [7:0] sc2mac_wt_src_data7; input [7:0] sc2mac_wt_src_data8; input [7:0] sc2mac_wt_src_data9; input [7:0] sc2mac_wt_src_data10; input [7:0] sc2mac_wt_src_data11; input [7:0] sc2mac_wt_src_data12; input [7:0] sc2mac_wt_src_data13; input [7:0] sc2mac_wt_src_data14; input [7:0] sc2mac_wt_src_data15; input [7:0] sc2mac_wt_src_data16; input [7:0] sc2mac_wt_src_data17; input [7:0] sc2mac_wt_src_data18; input [7:0] sc2mac_wt_src_data19; input [7:0] sc2mac_wt_src_data20; input [7:0] sc2mac_wt_src_data21; input [7:0] sc2mac_wt_src_data22; input [7:0] sc2mac_wt_src_data23; input [7:0] sc2mac_wt_src_data24; input [7:0] sc2mac_wt_src_data25; input [7:0] sc2mac_wt_src_data26; input [7:0] sc2mac_wt_src_data27; input [7:0] sc2mac_wt_src_data28; input [7:0] sc2mac_wt_src_data29; input [7:0] sc2mac_wt_src_data30; input [7:0] sc2mac_wt_src_data31; input [7:0] sc2mac_wt_src_data32; input [7:0] sc2mac_wt_src_data33; input [7:0] sc2mac_wt_src_data34; input [7:0] sc2mac_wt_src_data35; input [7:0] sc2mac_wt_src_data36; input [7:0] sc2mac_wt_src_data37; input [7:0] sc2mac_wt_src_data38; input [7:0] sc2mac_wt_src_data39; input [7:0] sc2mac_wt_src_data40; input [7:0] sc2mac_wt_src_data41; input [7:0] sc2mac_wt_src_data42; input [7:0] sc2mac_wt_src_data43; input [7:0] sc2mac_wt_src_data44; input [7:0] sc2mac_wt_src_data45; input [7:0] sc2mac_wt_src_data46; input [7:0] sc2mac_wt_src_data47; input [7:0] sc2mac_wt_src_data48; input [7:0] sc2mac_wt_src_data49; input [7:0] sc2mac_wt_src_data50; input [7:0] sc2mac_wt_src_data51; input [7:0] sc2mac_wt_src_data52; input [7:0] sc2mac_wt_src_data53; input [7:0] sc2mac_wt_src_data54; input [7:0] sc2mac_wt_src_data55; input [7:0] sc2mac_wt_src_data56; input [7:0] sc2mac_wt_src_data57; input [7:0] sc2mac_wt_src_data58; input [7:0] sc2mac_wt_src_data59; input [7:0] sc2mac_wt_src_data60; input [7:0] sc2mac_wt_src_data61; input [7:0] sc2mac_wt_src_data62; input [7:0] sc2mac_wt_src_data63; input [7:0] sc2mac_wt_src_data64; input [7:0] sc2mac_wt_src_data65; input [7:0] sc2mac_wt_src_data66; input [7:0] sc2mac_wt_src_data67; input [7:0] sc2mac_wt_src_data68; input [7:0] sc2mac_wt_src_data69; input [7:0] sc2mac_wt_src_data70; input [7:0] sc2mac_wt_src_data71; input [7:0] sc2mac_wt_src_data72; input [7:0] sc2mac_wt_src_data73; input [7:0] sc2mac_wt_src_data74; input [7:0] sc2mac_wt_src_data75; input [7:0] sc2mac_wt_src_data76; input [7:0] sc2mac_wt_src_data77; input [7:0] sc2mac_wt_src_data78; input [7:0] sc2mac_wt_src_data79; input [7:0] sc2mac_wt_src_data80; input [7:0] sc2mac_wt_src_data81; input [7:0] sc2mac_wt_src_data82; input [7:0] sc2mac_wt_src_data83; input [7:0] sc2mac_wt_src_data84; input [7:0] sc2mac_wt_src_data85; input [7:0] sc2mac_wt_src_data86; input [7:0] sc2mac_wt_src_data87; input [7:0] sc2mac_wt_src_data88; input [7:0] sc2mac_wt_src_data89; input [7:0] sc2mac_wt_src_data90; input [7:0] sc2mac_wt_src_data91; input [7:0] sc2mac_wt_src_data92; input [7:0] sc2mac_wt_src_data93; input [7:0] sc2mac_wt_src_data94; input [7:0] sc2mac_wt_src_data95; input [7:0] sc2mac_wt_src_data96; input [7:0] sc2mac_wt_src_data97; input [7:0] sc2mac_wt_src_data98; input [7:0] sc2mac_wt_src_data99; input [7:0] sc2mac_wt_src_data100; input [7:0] sc2mac_wt_src_data101; input [7:0] sc2mac_wt_src_data102; input [7:0] sc2mac_wt_src_data103; input [7:0] sc2mac_wt_src_data104; input [7:0] sc2mac_wt_src_data105; input [7:0] sc2mac_wt_src_data106; input [7:0] sc2mac_wt_src_data107; input [7:0] sc2mac_wt_src_data108; input [7:0] sc2mac_wt_src_data109; input [7:0] sc2mac_wt_src_data110; input [7:0] sc2mac_wt_src_data111; input [7:0] sc2mac_wt_src_data112; input [7:0] sc2mac_wt_src_data113; input [7:0] sc2mac_wt_src_data114; input [7:0] sc2mac_wt_src_data115; input [7:0] sc2mac_wt_src_data116; input [7:0] sc2mac_wt_src_data117; input [7:0] sc2mac_wt_src_data118; input [7:0] sc2mac_wt_src_data119; input [7:0] sc2mac_wt_src_data120; input [7:0] sc2mac_wt_src_data121; input [7:0] sc2mac_wt_src_data122; input [7:0] sc2mac_wt_src_data123; input [7:0] sc2mac_wt_src_data124; input [7:0] sc2mac_wt_src_data125; input [7:0] sc2mac_wt_src_data126; input [7:0] sc2mac_wt_src_data127; input [7:0] sc2mac_wt_src_sel; input sc2mac_dat_src_pvld; input [127:0] sc2mac_dat_src_mask; input [7:0] sc2mac_dat_src_data0; input [7:0] sc2mac_dat_src_data1; input [7:0] sc2mac_dat_src_data2; input [7:0] sc2mac_dat_src_data3; input [7:0] sc2mac_dat_src_data4; input [7:0] sc2mac_dat_src_data5; input [7:0] sc2mac_dat_src_data6; input [7:0] sc2mac_dat_src_data7; input [7:0] sc2mac_dat_src_data8; input [7:0] sc2mac_dat_src_data9; input [7:0] sc2mac_dat_src_data10; input [7:0] sc2mac_dat_src_data11; input [7:0] sc2mac_dat_src_data12; input [7:0] sc2mac_dat_src_data13; input [7:0] sc2mac_dat_src_data14; input [7:0] sc2mac_dat_src_data15; input [7:0] sc2mac_dat_src_data16; input [7:0] sc2mac_dat_src_data17; input [7:0] sc2mac_dat_src_data18; input [7:0] sc2mac_dat_src_data19; input [7:0] sc2mac_dat_src_data20; input [7:0] sc2mac_dat_src_data21; input [7:0] sc2mac_dat_src_data22; input [7:0] sc2mac_dat_src_data23; input [7:0] sc2mac_dat_src_data24; input [7:0] sc2mac_dat_src_data25; input [7:0] sc2mac_dat_src_data26; input [7:0] sc2mac_dat_src_data27; input [7:0] sc2mac_dat_src_data28; input [7:0] sc2mac_dat_src_data29; input [7:0] sc2mac_dat_src_data30; input [7:0] sc2mac_dat_src_data31; input [7:0] sc2mac_dat_src_data32; input [7:0] sc2mac_dat_src_data33; input [7:0] sc2mac_dat_src_data34; input [7:0] sc2mac_dat_src_data35; input [7:0] sc2mac_dat_src_data36; input [7:0] sc2mac_dat_src_data37; input [7:0] sc2mac_dat_src_data38; input [7:0] sc2mac_dat_src_data39; input [7:0] sc2mac_dat_src_data40; input [7:0] sc2mac_dat_src_data41; input [7:0] sc2mac_dat_src_data42; input [7:0] sc2mac_dat_src_data43; input [7:0] sc2mac_dat_src_data44; input [7:0] sc2mac_dat_src_data45; input [7:0] sc2mac_dat_src_data46; input [7:0] sc2mac_dat_src_data47; input [7:0] sc2mac_dat_src_data48; input [7:0] sc2mac_dat_src_data49; input [7:0] sc2mac_dat_src_data50; input [7:0] sc2mac_dat_src_data51; input [7:0] sc2mac_dat_src_data52; input [7:0] sc2mac_dat_src_data53; input [7:0] sc2mac_dat_src_data54; input [7:0] sc2mac_dat_src_data55; input [7:0] sc2mac_dat_src_data56; input [7:0] sc2mac_dat_src_data57; input [7:0] sc2mac_dat_src_data58; input [7:0] sc2mac_dat_src_data59; input [7:0] sc2mac_dat_src_data60; input [7:0] sc2mac_dat_src_data61; input [7:0] sc2mac_dat_src_data62; input [7:0] sc2mac_dat_src_data63; input [7:0] sc2mac_dat_src_data64; input [7:0] sc2mac_dat_src_data65; input [7:0] sc2mac_dat_src_data66; input [7:0] sc2mac_dat_src_data67; input [7:0] sc2mac_dat_src_data68; input [7:0] sc2mac_dat_src_data69; input [7:0] sc2mac_dat_src_data70; input [7:0] sc2mac_dat_src_data71; input [7:0] sc2mac_dat_src_data72; input [7:0] sc2mac_dat_src_data73; input [7:0] sc2mac_dat_src_data74; input [7:0] sc2mac_dat_src_data75; input [7:0] sc2mac_dat_src_data76; input [7:0] sc2mac_dat_src_data77; input [7:0] sc2mac_dat_src_data78; input [7:0] sc2mac_dat_src_data79; input [7:0] sc2mac_dat_src_data80; input [7:0] sc2mac_dat_src_data81; input [7:0] sc2mac_dat_src_data82; input [7:0] sc2mac_dat_src_data83; input [7:0] sc2mac_dat_src_data84; input [7:0] sc2mac_dat_src_data85; input [7:0] sc2mac_dat_src_data86; input [7:0] sc2mac_dat_src_data87; input [7:0] sc2mac_dat_src_data88; input [7:0] sc2mac_dat_src_data89; input [7:0] sc2mac_dat_src_data90; input [7:0] sc2mac_dat_src_data91; input [7:0] sc2mac_dat_src_data92; input [7:0] sc2mac_dat_src_data93; input [7:0] sc2mac_dat_src_data94; input [7:0] sc2mac_dat_src_data95; input [7:0] sc2mac_dat_src_data96; input [7:0] sc2mac_dat_src_data97; input [7:0] sc2mac_dat_src_data98; input [7:0] sc2mac_dat_src_data99; input [7:0] sc2mac_dat_src_data100; input [7:0] sc2mac_dat_src_data101; input [7:0] sc2mac_dat_src_data102; input [7:0] sc2mac_dat_src_data103; input [7:0] sc2mac_dat_src_data104; input [7:0] sc2mac_dat_src_data105; input [7:0] sc2mac_dat_src_data106; input [7:0] sc2mac_dat_src_data107; input [7:0] sc2mac_dat_src_data108; input [7:0] sc2mac_dat_src_data109; input [7:0] sc2mac_dat_src_data110; input [7:0] sc2mac_dat_src_data111; input [7:0] sc2mac_dat_src_data112; input [7:0] sc2mac_dat_src_data113; input [7:0] sc2mac_dat_src_data114; input [7:0] sc2mac_dat_src_data115; input [7:0] sc2mac_dat_src_data116; input [7:0] sc2mac_dat_src_data117; input [7:0] sc2mac_dat_src_data118; input [7:0] sc2mac_dat_src_data119; input [7:0] sc2mac_dat_src_data120; input [7:0] sc2mac_dat_src_data121; input [7:0] sc2mac_dat_src_data122; input [7:0] sc2mac_dat_src_data123; input [7:0] sc2mac_dat_src_data124; input [7:0] sc2mac_dat_src_data125; input [7:0] sc2mac_dat_src_data126; input [7:0] sc2mac_dat_src_data127; input [8:0] sc2mac_dat_src_pd; output sc2mac_wt_dst_pvld; output [127:0] sc2mac_wt_dst_mask; output [7:0] sc2mac_wt_dst_data0; output [7:0] sc2mac_wt_dst_data1; output [7:0] sc2mac_wt_dst_data2; output [7:0] sc2mac_wt_dst_data3; output [7:0] sc2mac_wt_dst_data4; output [7:0] sc2mac_wt_dst_data5; output [7:0] sc2mac_wt_dst_data6; output [7:0] sc2mac_wt_dst_data7; output [7:0] sc2mac_wt_dst_data8; output [7:0] sc2mac_wt_dst_data9; output [7:0] sc2mac_wt_dst_data10; output [7:0] sc2mac_wt_dst_data11; output [7:0] sc2mac_wt_dst_data12; output [7:0] sc2mac_wt_dst_data13; output [7:0] sc2mac_wt_dst_data14; output [7:0] sc2mac_wt_dst_data15; output [7:0] sc2mac_wt_dst_data16; output [7:0] sc2mac_wt_dst_data17; output [7:0] sc2mac_wt_dst_data18; output [7:0] sc2mac_wt_dst_data19; output [7:0] sc2mac_wt_dst_data20; output [7:0] sc2mac_wt_dst_data21; output [7:0] sc2mac_wt_dst_data22; output [7:0] sc2mac_wt_dst_data23; output [7:0] sc2mac_wt_dst_data24; output [7:0] sc2mac_wt_dst_data25; output [7:0] sc2mac_wt_dst_data26; output [7:0] sc2mac_wt_dst_data27; output [7:0] sc2mac_wt_dst_data28; output [7:0] sc2mac_wt_dst_data29; output [7:0] sc2mac_wt_dst_data30; output [7:0] sc2mac_wt_dst_data31; output [7:0] sc2mac_wt_dst_data32; output [7:0] sc2mac_wt_dst_data33; output [7:0] sc2mac_wt_dst_data34; output [7:0] sc2mac_wt_dst_data35; output [7:0] sc2mac_wt_dst_data36; output [7:0] sc2mac_wt_dst_data37; output [7:0] sc2mac_wt_dst_data38; output [7:0] sc2mac_wt_dst_data39; output [7:0] sc2mac_wt_dst_data40; output [7:0] sc2mac_wt_dst_data41; output [7:0] sc2mac_wt_dst_data42; output [7:0] sc2mac_wt_dst_data43; output [7:0] sc2mac_wt_dst_data44; output [7:0] sc2mac_wt_dst_data45; output [7:0] sc2mac_wt_dst_data46; output [7:0] sc2mac_wt_dst_data47; output [7:0] sc2mac_wt_dst_data48; output [7:0] sc2mac_wt_dst_data49; output [7:0] sc2mac_wt_dst_data50; output [7:0] sc2mac_wt_dst_data51; output [7:0] sc2mac_wt_dst_data52; output [7:0] sc2mac_wt_dst_data53; output [7:0] sc2mac_wt_dst_data54; output [7:0] sc2mac_wt_dst_data55; output [7:0] sc2mac_wt_dst_data56; output [7:0] sc2mac_wt_dst_data57; output [7:0] sc2mac_wt_dst_data58; output [7:0] sc2mac_wt_dst_data59; output [7:0] sc2mac_wt_dst_data60; output [7:0] sc2mac_wt_dst_data61; output [7:0] sc2mac_wt_dst_data62; output [7:0] sc2mac_wt_dst_data63; output [7:0] sc2mac_wt_dst_data64; output [7:0] sc2mac_wt_dst_data65; output [7:0] sc2mac_wt_dst_data66; output [7:0] sc2mac_wt_dst_data67; output [7:0] sc2mac_wt_dst_data68; output [7:0] sc2mac_wt_dst_data69; output [7:0] sc2mac_wt_dst_data70; output [7:0] sc2mac_wt_dst_data71; output [7:0] sc2mac_wt_dst_data72; output [7:0] sc2mac_wt_dst_data73; output [7:0] sc2mac_wt_dst_data74; output [7:0] sc2mac_wt_dst_data75; output [7:0] sc2mac_wt_dst_data76; output [7:0] sc2mac_wt_dst_data77; output [7:0] sc2mac_wt_dst_data78; output [7:0] sc2mac_wt_dst_data79; output [7:0] sc2mac_wt_dst_data80; output [7:0] sc2mac_wt_dst_data81; output [7:0] sc2mac_wt_dst_data82; output [7:0] sc2mac_wt_dst_data83; output [7:0] sc2mac_wt_dst_data84; output [7:0] sc2mac_wt_dst_data85; output [7:0] sc2mac_wt_dst_data86; output [7:0] sc2mac_wt_dst_data87; output [7:0] sc2mac_wt_dst_data88; output [7:0] sc2mac_wt_dst_data89; output [7:0] sc2mac_wt_dst_data90; output [7:0] sc2mac_wt_dst_data91; output [7:0] sc2mac_wt_dst_data92; output [7:0] sc2mac_wt_dst_data93; output [7:0] sc2mac_wt_dst_data94; output [7:0] sc2mac_wt_dst_data95; output [7:0] sc2mac_wt_dst_data96; output [7:0] sc2mac_wt_dst_data97; output [7:0] sc2mac_wt_dst_data98; output [7:0] sc2mac_wt_dst_data99; output [7:0] sc2mac_wt_dst_data100; output [7:0] sc2mac_wt_dst_data101; output [7:0] sc2mac_wt_dst_data102; output [7:0] sc2mac_wt_dst_data103; output [7:0] sc2mac_wt_dst_data104; output [7:0] sc2mac_wt_dst_data105; output [7:0] sc2mac_wt_dst_data106; output [7:0] sc2mac_wt_dst_data107; output [7:0] sc2mac_wt_dst_data108; output [7:0] sc2mac_wt_dst_data109; output [7:0] sc2mac_wt_dst_data110; output [7:0] sc2mac_wt_dst_data111; output [7:0] sc2mac_wt_dst_data112; output [7:0] sc2mac_wt_dst_data113; output [7:0] sc2mac_wt_dst_data114; output [7:0] sc2mac_wt_dst_data115; output [7:0] sc2mac_wt_dst_data116; output [7:0] sc2mac_wt_dst_data117; output [7:0] sc2mac_wt_dst_data118; output [7:0] sc2mac_wt_dst_data119; output [7:0] sc2mac_wt_dst_data120; output [7:0] sc2mac_wt_dst_data121; output [7:0] sc2mac_wt_dst_data122; output [7:0] sc2mac_wt_dst_data123; output [7:0] sc2mac_wt_dst_data124; output [7:0] sc2mac_wt_dst_data125; output [7:0] sc2mac_wt_dst_data126; output [7:0] sc2mac_wt_dst_data127; output [7:0] sc2mac_wt_dst_sel; output sc2mac_dat_dst_pvld; output [127:0] sc2mac_dat_dst_mask; output [7:0] sc2mac_dat_dst_data0; output [7:0] sc2mac_dat_dst_data1; output [7:0] sc2mac_dat_dst_data2; output [7:0] sc2mac_dat_dst_data3; output [7:0] sc2mac_dat_dst_data4; output [7:0] sc2mac_dat_dst_data5; output [7:0] sc2mac_dat_dst_data6; output [7:0] sc2mac_dat_dst_data7; output [7:0] sc2mac_dat_dst_data8; output [7:0] sc2mac_dat_dst_data9; output [7:0] sc2mac_dat_dst_data10; output [7:0] sc2mac_dat_dst_data11; output [7:0] sc2mac_dat_dst_data12; output [7:0] sc2mac_dat_dst_data13; output [7:0] sc2mac_dat_dst_data14; output [7:0] sc2mac_dat_dst_data15; output [7:0] sc2mac_dat_dst_data16; output [7:0] sc2mac_dat_dst_data17; output [7:0] sc2mac_dat_dst_data18; output [7:0] sc2mac_dat_dst_data19; output [7:0] sc2mac_dat_dst_data20; output [7:0] sc2mac_dat_dst_data21; output [7:0] sc2mac_dat_dst_data22; output [7:0] sc2mac_dat_dst_data23; output [7:0] sc2mac_dat_dst_data24; output [7:0] sc2mac_dat_dst_data25; output [7:0] sc2mac_dat_dst_data26; output [7:0] sc2mac_dat_dst_data27; output [7:0] sc2mac_dat_dst_data28; output [7:0] sc2mac_dat_dst_data29; output [7:0] sc2mac_dat_dst_data30; output [7:0] sc2mac_dat_dst_data31; output [7:0] sc2mac_dat_dst_data32; output [7:0] sc2mac_dat_dst_data33; output [7:0] sc2mac_dat_dst_data34; output [7:0] sc2mac_dat_dst_data35; output [7:0] sc2mac_dat_dst_data36; output [7:0] sc2mac_dat_dst_data37; output [7:0] sc2mac_dat_dst_data38; output [7:0] sc2mac_dat_dst_data39; output [7:0] sc2mac_dat_dst_data40; output [7:0] sc2mac_dat_dst_data41; output [7:0] sc2mac_dat_dst_data42; output [7:0] sc2mac_dat_dst_data43; output [7:0] sc2mac_dat_dst_data44; output [7:0] sc2mac_dat_dst_data45; output [7:0] sc2mac_dat_dst_data46; output [7:0] sc2mac_dat_dst_data47; output [7:0] sc2mac_dat_dst_data48; output [7:0] sc2mac_dat_dst_data49; output [7:0] sc2mac_dat_dst_data50; output [7:0] sc2mac_dat_dst_data51; output [7:0] sc2mac_dat_dst_data52; output [7:0] sc2mac_dat_dst_data53; output [7:0] sc2mac_dat_dst_data54; output [7:0] sc2mac_dat_dst_data55; output [7:0] sc2mac_dat_dst_data56; output [7:0] sc2mac_dat_dst_data57; output [7:0] sc2mac_dat_dst_data58; output [7:0] sc2mac_dat_dst_data59; output [7:0] sc2mac_dat_dst_data60; output [7:0] sc2mac_dat_dst_data61; output [7:0] sc2mac_dat_dst_data62; output [7:0] sc2mac_dat_dst_data63; output [7:0] sc2mac_dat_dst_data64; output [7:0] sc2mac_dat_dst_data65; output [7:0] sc2mac_dat_dst_data66; output [7:0] sc2mac_dat_dst_data67; output [7:0] sc2mac_dat_dst_data68; output [7:0] sc2mac_dat_dst_data69; output [7:0] sc2mac_dat_dst_data70; output [7:0] sc2mac_dat_dst_data71; output [7:0] sc2mac_dat_dst_data72; output [7:0] sc2mac_dat_dst_data73; output [7:0] sc2mac_dat_dst_data74; output [7:0] sc2mac_dat_dst_data75; output [7:0] sc2mac_dat_dst_data76; output [7:0] sc2mac_dat_dst_data77; output [7:0] sc2mac_dat_dst_data78; output [7:0] sc2mac_dat_dst_data79; output [7:0] sc2mac_dat_dst_data80; output [7:0] sc2mac_dat_dst_data81; output [7:0] sc2mac_dat_dst_data82; output [7:0] sc2mac_dat_dst_data83; output [7:0] sc2mac_dat_dst_data84; output [7:0] sc2mac_dat_dst_data85; output [7:0] sc2mac_dat_dst_data86; output [7:0] sc2mac_dat_dst_data87; output [7:0] sc2mac_dat_dst_data88; output [7:0] sc2mac_dat_dst_data89; output [7:0] sc2mac_dat_dst_data90; output [7:0] sc2mac_dat_dst_data91; output [7:0] sc2mac_dat_dst_data92; output [7:0] sc2mac_dat_dst_data93; output [7:0] sc2mac_dat_dst_data94; output [7:0] sc2mac_dat_dst_data95; output [7:0] sc2mac_dat_dst_data96; output [7:0] sc2mac_dat_dst_data97; output [7:0] sc2mac_dat_dst_data98; output [7:0] sc2mac_dat_dst_data99; output [7:0] sc2mac_dat_dst_data100; output [7:0] sc2mac_dat_dst_data101; output [7:0] sc2mac_dat_dst_data102; output [7:0] sc2mac_dat_dst_data103; output [7:0] sc2mac_dat_dst_data104; output [7:0] sc2mac_dat_dst_data105; output [7:0] sc2mac_dat_dst_data106; output [7:0] sc2mac_dat_dst_data107; output [7:0] sc2mac_dat_dst_data108; output [7:0] sc2mac_dat_dst_data109; output [7:0] sc2mac_dat_dst_data110; output [7:0] sc2mac_dat_dst_data111; output [7:0] sc2mac_dat_dst_data112; output [7:0] sc2mac_dat_dst_data113; output [7:0] sc2mac_dat_dst_data114; output [7:0] sc2mac_dat_dst_data115; output [7:0] sc2mac_dat_dst_data116; output [7:0] sc2mac_dat_dst_data117; output [7:0] sc2mac_dat_dst_data118; output [7:0] sc2mac_dat_dst_data119; output [7:0] sc2mac_dat_dst_data120; output [7:0] sc2mac_dat_dst_data121; output [7:0] sc2mac_dat_dst_data122; output [7:0] sc2mac_dat_dst_data123; output [7:0] sc2mac_dat_dst_data124; output [7:0] sc2mac_dat_dst_data125; output [7:0] sc2mac_dat_dst_data126; output [7:0] sc2mac_dat_dst_data127; output [8:0] sc2mac_dat_dst_pd; wire [7:0] sc2mac_dat_data0_d0; wire [7:0] sc2mac_dat_data100_d0; wire [7:0] sc2mac_dat_data101_d0; wire [7:0] sc2mac_dat_data102_d0; wire [7:0] sc2mac_dat_data103_d0; wire [7:0] sc2mac_dat_data104_d0; wire [7:0] sc2mac_dat_data105_d0; wire [7:0] sc2mac_dat_data106_d0; wire [7:0] sc2mac_dat_data107_d0; wire [7:0] sc2mac_dat_data108_d0; wire [7:0] sc2mac_dat_data109_d0; wire [7:0] sc2mac_dat_data10_d0; wire [7:0] sc2mac_dat_data110_d0; wire [7:0] sc2mac_dat_data111_d0; wire [7:0] sc2mac_dat_data112_d0; wire [7:0] sc2mac_dat_data113_d0; wire [7:0] sc2mac_dat_data114_d0; wire [7:0] sc2mac_dat_data115_d0; wire [7:0] sc2mac_dat_data116_d0; wire [7:0] sc2mac_dat_data117_d0; wire [7:0] sc2mac_dat_data118_d0; wire [7:0] sc2mac_dat_data119_d0; wire [7:0] sc2mac_dat_data11_d0; wire [7:0] sc2mac_dat_data120_d0; wire [7:0] sc2mac_dat_data121_d0; wire [7:0] sc2mac_dat_data122_d0; wire [7:0] sc2mac_dat_data123_d0; wire [7:0] sc2mac_dat_data124_d0; wire [7:0] sc2mac_dat_data125_d0; wire [7:0] sc2mac_dat_data126_d0; wire [7:0] sc2mac_dat_data127_d0; wire [7:0] sc2mac_dat_data12_d0; wire [7:0] sc2mac_dat_data13_d0; wire [7:0] sc2mac_dat_data14_d0; wire [7:0] sc2mac_dat_data15_d0; wire [7:0] sc2mac_dat_data16_d0; wire [7:0] sc2mac_dat_data17_d0; wire [7:0] sc2mac_dat_data18_d0; wire [7:0] sc2mac_dat_data19_d0; wire [7:0] sc2mac_dat_data1_d0; wire [7:0] sc2mac_dat_data20_d0; wire [7:0] sc2mac_dat_data21_d0; wire [7:0] sc2mac_dat_data22_d0; wire [7:0] sc2mac_dat_data23_d0; wire [7:0] sc2mac_dat_data24_d0; wire [7:0] sc2mac_dat_data25_d0; wire [7:0] sc2mac_dat_data26_d0; wire [7:0] sc2mac_dat_data27_d0; wire [7:0] sc2mac_dat_data28_d0; wire [7:0] sc2mac_dat_data29_d0; wire [7:0] sc2mac_dat_data2_d0; wire [7:0] sc2mac_dat_data30_d0; wire [7:0] sc2mac_dat_data31_d0; wire [7:0] sc2mac_dat_data32_d0; wire [7:0] sc2mac_dat_data33_d0; wire [7:0] sc2mac_dat_data34_d0; wire [7:0] sc2mac_dat_data35_d0; wire [7:0] sc2mac_dat_data36_d0; wire [7:0] sc2mac_dat_data37_d0; wire [7:0] sc2mac_dat_data38_d0; wire [7:0] sc2mac_dat_data39_d0; wire [7:0] sc2mac_dat_data3_d0; wire [7:0] sc2mac_dat_data40_d0; wire [7:0] sc2mac_dat_data41_d0; wire [7:0] sc2mac_dat_data42_d0; wire [7:0] sc2mac_dat_data43_d0; wire [7:0] sc2mac_dat_data44_d0; wire [7:0] sc2mac_dat_data45_d0; wire [7:0] sc2mac_dat_data46_d0; wire [7:0] sc2mac_dat_data47_d0; wire [7:0] sc2mac_dat_data48_d0; wire [7:0] sc2mac_dat_data49_d0; wire [7:0] sc2mac_dat_data4_d0; wire [7:0] sc2mac_dat_data50_d0; wire [7:0] sc2mac_dat_data51_d0; wire [7:0] sc2mac_dat_data52_d0; wire [7:0] sc2mac_dat_data53_d0; wire [7:0] sc2mac_dat_data54_d0; wire [7:0] sc2mac_dat_data55_d0; wire [7:0] sc2mac_dat_data56_d0; wire [7:0] sc2mac_dat_data57_d0; wire [7:0] sc2mac_dat_data58_d0; wire [7:0] sc2mac_dat_data59_d0; wire [7:0] sc2mac_dat_data5_d0; wire [7:0] sc2mac_dat_data60_d0; wire [7:0] sc2mac_dat_data61_d0; wire [7:0] sc2mac_dat_data62_d0; wire [7:0] sc2mac_dat_data63_d0; wire [7:0] sc2mac_dat_data64_d0; wire [7:0] sc2mac_dat_data65_d0; wire [7:0] sc2mac_dat_data66_d0; wire [7:0] sc2mac_dat_data67_d0; wire [7:0] sc2mac_dat_data68_d0; wire [7:0] sc2mac_dat_data69_d0; wire [7:0] sc2mac_dat_data6_d0; wire [7:0] sc2mac_dat_data70_d0; wire [7:0] sc2mac_dat_data71_d0; wire [7:0] sc2mac_dat_data72_d0; wire [7:0] sc2mac_dat_data73_d0; wire [7:0] sc2mac_dat_data74_d0; wire [7:0] sc2mac_dat_data75_d0; wire [7:0] sc2mac_dat_data76_d0; wire [7:0] sc2mac_dat_data77_d0; wire [7:0] sc2mac_dat_data78_d0; wire [7:0] sc2mac_dat_data79_d0; wire [7:0] sc2mac_dat_data7_d0; wire [7:0] sc2mac_dat_data80_d0; wire [7:0] sc2mac_dat_data81_d0; wire [7:0] sc2mac_dat_data82_d0; wire [7:0] sc2mac_dat_data83_d0; wire [7:0] sc2mac_dat_data84_d0; wire [7:0] sc2mac_dat_data85_d0; wire [7:0] sc2mac_dat_data86_d0; wire [7:0] sc2mac_dat_data87_d0; wire [7:0] sc2mac_dat_data88_d0; wire [7:0] sc2mac_dat_data89_d0; wire [7:0] sc2mac_dat_data8_d0; wire [7:0] sc2mac_dat_data90_d0; wire [7:0] sc2mac_dat_data91_d0; wire [7:0] sc2mac_dat_data92_d0; wire [7:0] sc2mac_dat_data93_d0; wire [7:0] sc2mac_dat_data94_d0; wire [7:0] sc2mac_dat_data95_d0; wire [7:0] sc2mac_dat_data96_d0; wire [7:0] sc2mac_dat_data97_d0; wire [7:0] sc2mac_dat_data98_d0; wire [7:0] sc2mac_dat_data99_d0; wire [7:0] sc2mac_dat_data9_d0; wire [127:0] sc2mac_dat_mask_d0; wire [8:0] sc2mac_dat_pd_d0; wire sc2mac_dat_pvld_d0; wire [7:0] sc2mac_wt_data0_d0; wire [7:0] sc2mac_wt_data100_d0; wire [7:0] sc2mac_wt_data101_d0; wire [7:0] sc2mac_wt_data102_d0; wire [7:0] sc2mac_wt_data103_d0; wire [7:0] sc2mac_wt_data104_d0; wire [7:0] sc2mac_wt_data105_d0; wire [7:0] sc2mac_wt_data106_d0; wire [7:0] sc2mac_wt_data107_d0; wire [7:0] sc2mac_wt_data108_d0; wire [7:0] sc2mac_wt_data109_d0; wire [7:0] sc2mac_wt_data10_d0; wire [7:0] sc2mac_wt_data110_d0; wire [7:0] sc2mac_wt_data111_d0; wire [7:0] sc2mac_wt_data112_d0; wire [7:0] sc2mac_wt_data113_d0; wire [7:0] sc2mac_wt_data114_d0; wire [7:0] sc2mac_wt_data115_d0; wire [7:0] sc2mac_wt_data116_d0; wire [7:0] sc2mac_wt_data117_d0; wire [7:0] sc2mac_wt_data118_d0; wire [7:0] sc2mac_wt_data119_d0; wire [7:0] sc2mac_wt_data11_d0; wire [7:0] sc2mac_wt_data120_d0; wire [7:0] sc2mac_wt_data121_d0; wire [7:0] sc2mac_wt_data122_d0; wire [7:0] sc2mac_wt_data123_d0; wire [7:0] sc2mac_wt_data124_d0; wire [7:0] sc2mac_wt_data125_d0; wire [7:0] sc2mac_wt_data126_d0; wire [7:0] sc2mac_wt_data127_d0; wire [7:0] sc2mac_wt_data12_d0; wire [7:0] sc2mac_wt_data13_d0; wire [7:0] sc2mac_wt_data14_d0; wire [7:0] sc2mac_wt_data15_d0; wire [7:0] sc2mac_wt_data16_d0; wire [7:0] sc2mac_wt_data17_d0; wire [7:0] sc2mac_wt_data18_d0; wire [7:0] sc2mac_wt_data19_d0; wire [7:0] sc2mac_wt_data1_d0; wire [7:0] sc2mac_wt_data20_d0; wire [7:0] sc2mac_wt_data21_d0; wire [7:0] sc2mac_wt_data22_d0; wire [7:0] sc2mac_wt_data23_d0; wire [7:0] sc2mac_wt_data24_d0; wire [7:0] sc2mac_wt_data25_d0; wire [7:0] sc2mac_wt_data26_d0; wire [7:0] sc2mac_wt_data27_d0; wire [7:0] sc2mac_wt_data28_d0; wire [7:0] sc2mac_wt_data29_d0; wire [7:0] sc2mac_wt_data2_d0; wire [7:0] sc2mac_wt_data30_d0; wire [7:0] sc2mac_wt_data31_d0; wire [7:0] sc2mac_wt_data32_d0; wire [7:0] sc2mac_wt_data33_d0; wire [7:0] sc2mac_wt_data34_d0; wire [7:0] sc2mac_wt_data35_d0; wire [7:0] sc2mac_wt_data36_d0; wire [7:0] sc2mac_wt_data37_d0; wire [7:0] sc2mac_wt_data38_d0; wire [7:0] sc2mac_wt_data39_d0; wire [7:0] sc2mac_wt_data3_d0; wire [7:0] sc2mac_wt_data40_d0; wire [7:0] sc2mac_wt_data41_d0; wire [7:0] sc2mac_wt_data42_d0; wire [7:0] sc2mac_wt_data43_d0; wire [7:0] sc2mac_wt_data44_d0; wire [7:0] sc2mac_wt_data45_d0; wire [7:0] sc2mac_wt_data46_d0; wire [7:0] sc2mac_wt_data47_d0; wire [7:0] sc2mac_wt_data48_d0; wire [7:0] sc2mac_wt_data49_d0; wire [7:0] sc2mac_wt_data4_d0; wire [7:0] sc2mac_wt_data50_d0; wire [7:0] sc2mac_wt_data51_d0; wire [7:0] sc2mac_wt_data52_d0; wire [7:0] sc2mac_wt_data53_d0; wire [7:0] sc2mac_wt_data54_d0; wire [7:0] sc2mac_wt_data55_d0; wire [7:0] sc2mac_wt_data56_d0; wire [7:0] sc2mac_wt_data57_d0; wire [7:0] sc2mac_wt_data58_d0; wire [7:0] sc2mac_wt_data59_d0; wire [7:0] sc2mac_wt_data5_d0; wire [7:0] sc2mac_wt_data60_d0; wire [7:0] sc2mac_wt_data61_d0; wire [7:0] sc2mac_wt_data62_d0; wire [7:0] sc2mac_wt_data63_d0; wire [7:0] sc2mac_wt_data64_d0; wire [7:0] sc2mac_wt_data65_d0; wire [7:0] sc2mac_wt_data66_d0; wire [7:0] sc2mac_wt_data67_d0; wire [7:0] sc2mac_wt_data68_d0; wire [7:0] sc2mac_wt_data69_d0; wire [7:0] sc2mac_wt_data6_d0; wire [7:0] sc2mac_wt_data70_d0; wire [7:0] sc2mac_wt_data71_d0; wire [7:0] sc2mac_wt_data72_d0; wire [7:0] sc2mac_wt_data73_d0; wire [7:0] sc2mac_wt_data74_d0; wire [7:0] sc2mac_wt_data75_d0; wire [7:0] sc2mac_wt_data76_d0; wire [7:0] sc2mac_wt_data77_d0; wire [7:0] sc2mac_wt_data78_d0; wire [7:0] sc2mac_wt_data79_d0; wire [7:0] sc2mac_wt_data7_d0; wire [7:0] sc2mac_wt_data80_d0; wire [7:0] sc2mac_wt_data81_d0; wire [7:0] sc2mac_wt_data82_d0; wire [7:0] sc2mac_wt_data83_d0; wire [7:0] sc2mac_wt_data84_d0; wire [7:0] sc2mac_wt_data85_d0; wire [7:0] sc2mac_wt_data86_d0; wire [7:0] sc2mac_wt_data87_d0; wire [7:0] sc2mac_wt_data88_d0; wire [7:0] sc2mac_wt_data89_d0; wire [7:0] sc2mac_wt_data8_d0; wire [7:0] sc2mac_wt_data90_d0; wire [7:0] sc2mac_wt_data91_d0; wire [7:0] sc2mac_wt_data92_d0; wire [7:0] sc2mac_wt_data93_d0; wire [7:0] sc2mac_wt_data94_d0; wire [7:0] sc2mac_wt_data95_d0; wire [7:0] sc2mac_wt_data96_d0; wire [7:0] sc2mac_wt_data97_d0; wire [7:0] sc2mac_wt_data98_d0; wire [7:0] sc2mac_wt_data99_d0; wire [7:0] sc2mac_wt_data9_d0; wire [127:0] sc2mac_wt_mask_d0; wire sc2mac_wt_pvld_d0; wire [7:0] sc2mac_wt_sel_d0; reg [7:0] sc2mac_dat_data0_d1; reg [7:0] sc2mac_dat_data0_d2; reg [7:0] sc2mac_dat_data100_d1; reg [7:0] sc2mac_dat_data100_d2; reg [7:0] sc2mac_dat_data101_d1; reg [7:0] sc2mac_dat_data101_d2; reg [7:0] sc2mac_dat_data102_d1; reg [7:0] sc2mac_dat_data102_d2; reg [7:0] sc2mac_dat_data103_d1; reg [7:0] sc2mac_dat_data103_d2; reg [7:0] sc2mac_dat_data104_d1; reg [7:0] sc2mac_dat_data104_d2; reg [7:0] sc2mac_dat_data105_d1; reg [7:0] sc2mac_dat_data105_d2; reg [7:0] sc2mac_dat_data106_d1; reg [7:0] sc2mac_dat_data106_d2; reg [7:0] sc2mac_dat_data107_d1; reg [7:0] sc2mac_dat_data107_d2; reg [7:0] sc2mac_dat_data108_d1; reg [7:0] sc2mac_dat_data108_d2; reg [7:0] sc2mac_dat_data109_d1; reg [7:0] sc2mac_dat_data109_d2; reg [7:0] sc2mac_dat_data10_d1; reg [7:0] sc2mac_dat_data10_d2; reg [7:0] sc2mac_dat_data110_d1; reg [7:0] sc2mac_dat_data110_d2; reg [7:0] sc2mac_dat_data111_d1; reg [7:0] sc2mac_dat_data111_d2; reg [7:0] sc2mac_dat_data112_d1; reg [7:0] sc2mac_dat_data112_d2; reg [7:0] sc2mac_dat_data113_d1; reg [7:0] sc2mac_dat_data113_d2; reg [7:0] sc2mac_dat_data114_d1; reg [7:0] sc2mac_dat_data114_d2; reg [7:0] sc2mac_dat_data115_d1; reg [7:0] sc2mac_dat_data115_d2; reg [7:0] sc2mac_dat_data116_d1; reg [7:0] sc2mac_dat_data116_d2; reg [7:0] sc2mac_dat_data117_d1; reg [7:0] sc2mac_dat_data117_d2; reg [7:0] sc2mac_dat_data118_d1; reg [7:0] sc2mac_dat_data118_d2; reg [7:0] sc2mac_dat_data119_d1; reg [7:0] sc2mac_dat_data119_d2; reg [7:0] sc2mac_dat_data11_d1; reg [7:0] sc2mac_dat_data11_d2; reg [7:0] sc2mac_dat_data120_d1; reg [7:0] sc2mac_dat_data120_d2; reg [7:0] sc2mac_dat_data121_d1; reg [7:0] sc2mac_dat_data121_d2; reg [7:0] sc2mac_dat_data122_d1; reg [7:0] sc2mac_dat_data122_d2; reg [7:0] sc2mac_dat_data123_d1; reg [7:0] sc2mac_dat_data123_d2; reg [7:0] sc2mac_dat_data124_d1; reg [7:0] sc2mac_dat_data124_d2; reg [7:0] sc2mac_dat_data125_d1; reg [7:0] sc2mac_dat_data125_d2; reg [7:0] sc2mac_dat_data126_d1; reg [7:0] sc2mac_dat_data126_d2; reg [7:0] sc2mac_dat_data127_d1; reg [7:0] sc2mac_dat_data127_d2; reg [7:0] sc2mac_dat_data12_d1; reg [7:0] sc2mac_dat_data12_d2; reg [7:0] sc2mac_dat_data13_d1; reg [7:0] sc2mac_dat_data13_d2; reg [7:0] sc2mac_dat_data14_d1; reg [7:0] sc2mac_dat_data14_d2; reg [7:0] sc2mac_dat_data15_d1; reg [7:0] sc2mac_dat_data15_d2; reg [7:0] sc2mac_dat_data16_d1; reg [7:0] sc2mac_dat_data16_d2; reg [7:0] sc2mac_dat_data17_d1; reg [7:0] sc2mac_dat_data17_d2; reg [7:0] sc2mac_dat_data18_d1; reg [7:0] sc2mac_dat_data18_d2; reg [7:0] sc2mac_dat_data19_d1; reg [7:0] sc2mac_dat_data19_d2; reg [7:0] sc2mac_dat_data1_d1; reg [7:0] sc2mac_dat_data1_d2; reg [7:0] sc2mac_dat_data20_d1; reg [7:0] sc2mac_dat_data20_d2; reg [7:0] sc2mac_dat_data21_d1; reg [7:0] sc2mac_dat_data21_d2; reg [7:0] sc2mac_dat_data22_d1; reg [7:0] sc2mac_dat_data22_d2; reg [7:0] sc2mac_dat_data23_d1; reg [7:0] sc2mac_dat_data23_d2; reg [7:0] sc2mac_dat_data24_d1; reg [7:0] sc2mac_dat_data24_d2; reg [7:0] sc2mac_dat_data25_d1; reg [7:0] sc2mac_dat_data25_d2; reg [7:0] sc2mac_dat_data26_d1; reg [7:0] sc2mac_dat_data26_d2; reg [7:0] sc2mac_dat_data27_d1; reg [7:0] sc2mac_dat_data27_d2; reg [7:0] sc2mac_dat_data28_d1; reg [7:0] sc2mac_dat_data28_d2; reg [7:0] sc2mac_dat_data29_d1; reg [7:0] sc2mac_dat_data29_d2; reg [7:0] sc2mac_dat_data2_d1; reg [7:0] sc2mac_dat_data2_d2; reg [7:0] sc2mac_dat_data30_d1; reg [7:0] sc2mac_dat_data30_d2; reg [7:0] sc2mac_dat_data31_d1; reg [7:0] sc2mac_dat_data31_d2; reg [7:0] sc2mac_dat_data32_d1; reg [7:0] sc2mac_dat_data32_d2; reg [7:0] sc2mac_dat_data33_d1; reg [7:0] sc2mac_dat_data33_d2; reg [7:0] sc2mac_dat_data34_d1; reg [7:0] sc2mac_dat_data34_d2; reg [7:0] sc2mac_dat_data35_d1; reg [7:0] sc2mac_dat_data35_d2; reg [7:0] sc2mac_dat_data36_d1; reg [7:0] sc2mac_dat_data36_d2; reg [7:0] sc2mac_dat_data37_d1; reg [7:0] sc2mac_dat_data37_d2; reg [7:0] sc2mac_dat_data38_d1; reg [7:0] sc2mac_dat_data38_d2; reg [7:0] sc2mac_dat_data39_d1; reg [7:0] sc2mac_dat_data39_d2; reg [7:0] sc2mac_dat_data3_d1; reg [7:0] sc2mac_dat_data3_d2; reg [7:0] sc2mac_dat_data40_d1; reg [7:0] sc2mac_dat_data40_d2; reg [7:0] sc2mac_dat_data41_d1; reg [7:0] sc2mac_dat_data41_d2; reg [7:0] sc2mac_dat_data42_d1; reg [7:0] sc2mac_dat_data42_d2; reg [7:0] sc2mac_dat_data43_d1; reg [7:0] sc2mac_dat_data43_d2; reg [7:0] sc2mac_dat_data44_d1; reg [7:0] sc2mac_dat_data44_d2; reg [7:0] sc2mac_dat_data45_d1; reg [7:0] sc2mac_dat_data45_d2; reg [7:0] sc2mac_dat_data46_d1; reg [7:0] sc2mac_dat_data46_d2; reg [7:0] sc2mac_dat_data47_d1; reg [7:0] sc2mac_dat_data47_d2; reg [7:0] sc2mac_dat_data48_d1; reg [7:0] sc2mac_dat_data48_d2; reg [7:0] sc2mac_dat_data49_d1; reg [7:0] sc2mac_dat_data49_d2; reg [7:0] sc2mac_dat_data4_d1; reg [7:0] sc2mac_dat_data4_d2; reg [7:0] sc2mac_dat_data50_d1; reg [7:0] sc2mac_dat_data50_d2; reg [7:0] sc2mac_dat_data51_d1; reg [7:0] sc2mac_dat_data51_d2; reg [7:0] sc2mac_dat_data52_d1; reg [7:0] sc2mac_dat_data52_d2; reg [7:0] sc2mac_dat_data53_d1; reg [7:0] sc2mac_dat_data53_d2; reg [7:0] sc2mac_dat_data54_d1; reg [7:0] sc2mac_dat_data54_d2; reg [7:0] sc2mac_dat_data55_d1; reg [7:0] sc2mac_dat_data55_d2; reg [7:0] sc2mac_dat_data56_d1; reg [7:0] sc2mac_dat_data56_d2; reg [7:0] sc2mac_dat_data57_d1; reg [7:0] sc2mac_dat_data57_d2; reg [7:0] sc2mac_dat_data58_d1; reg [7:0] sc2mac_dat_data58_d2; reg [7:0] sc2mac_dat_data59_d1; reg [7:0] sc2mac_dat_data59_d2; reg [7:0] sc2mac_dat_data5_d1; reg [7:0] sc2mac_dat_data5_d2; reg [7:0] sc2mac_dat_data60_d1; reg [7:0] sc2mac_dat_data60_d2; reg [7:0] sc2mac_dat_data61_d1; reg [7:0] sc2mac_dat_data61_d2; reg [7:0] sc2mac_dat_data62_d1; reg [7:0] sc2mac_dat_data62_d2; reg [7:0] sc2mac_dat_data63_d1; reg [7:0] sc2mac_dat_data63_d2; reg [7:0] sc2mac_dat_data64_d1; reg [7:0] sc2mac_dat_data64_d2; reg [7:0] sc2mac_dat_data65_d1; reg [7:0] sc2mac_dat_data65_d2; reg [7:0] sc2mac_dat_data66_d1; reg [7:0] sc2mac_dat_data66_d2; reg [7:0] sc2mac_dat_data67_d1; reg [7:0] sc2mac_dat_data67_d2; reg [7:0] sc2mac_dat_data68_d1; reg [7:0] sc2mac_dat_data68_d2; reg [7:0] sc2mac_dat_data69_d1; reg [7:0] sc2mac_dat_data69_d2; reg [7:0] sc2mac_dat_data6_d1; reg [7:0] sc2mac_dat_data6_d2; reg [7:0] sc2mac_dat_data70_d1; reg [7:0] sc2mac_dat_data70_d2; reg [7:0] sc2mac_dat_data71_d1; reg [7:0] sc2mac_dat_data71_d2; reg [7:0] sc2mac_dat_data72_d1; reg [7:0] sc2mac_dat_data72_d2; reg [7:0] sc2mac_dat_data73_d1; reg [7:0] sc2mac_dat_data73_d2; reg [7:0] sc2mac_dat_data74_d1; reg [7:0] sc2mac_dat_data74_d2; reg [7:0] sc2mac_dat_data75_d1; reg [7:0] sc2mac_dat_data75_d2; reg [7:0] sc2mac_dat_data76_d1; reg [7:0] sc2mac_dat_data76_d2; reg [7:0] sc2mac_dat_data77_d1; reg [7:0] sc2mac_dat_data77_d2; reg [7:0] sc2mac_dat_data78_d1; reg [7:0] sc2mac_dat_data78_d2; reg [7:0] sc2mac_dat_data79_d1; reg [7:0] sc2mac_dat_data79_d2; reg [7:0] sc2mac_dat_data7_d1; reg [7:0] sc2mac_dat_data7_d2; reg [7:0] sc2mac_dat_data80_d1; reg [7:0] sc2mac_dat_data80_d2; reg [7:0] sc2mac_dat_data81_d1; reg [7:0] sc2mac_dat_data81_d2; reg [7:0] sc2mac_dat_data82_d1; reg [7:0] sc2mac_dat_data82_d2; reg [7:0] sc2mac_dat_data83_d1; reg [7:0] sc2mac_dat_data83_d2; reg [7:0] sc2mac_dat_data84_d1; reg [7:0] sc2mac_dat_data84_d2; reg [7:0] sc2mac_dat_data85_d1; reg [7:0] sc2mac_dat_data85_d2; reg [7:0] sc2mac_dat_data86_d1; reg [7:0] sc2mac_dat_data86_d2; reg [7:0] sc2mac_dat_data87_d1; reg [7:0] sc2mac_dat_data87_d2; reg [7:0] sc2mac_dat_data88_d1; reg [7:0] sc2mac_dat_data88_d2; reg [7:0] sc2mac_dat_data89_d1; reg [7:0] sc2mac_dat_data89_d2; reg [7:0] sc2mac_dat_data8_d1; reg [7:0] sc2mac_dat_data8_d2; reg [7:0] sc2mac_dat_data90_d1; reg [7:0] sc2mac_dat_data90_d2; reg [7:0] sc2mac_dat_data91_d1; reg [7:0] sc2mac_dat_data91_d2; reg [7:0] sc2mac_dat_data92_d1; reg [7:0] sc2mac_dat_data92_d2; reg [7:0] sc2mac_dat_data93_d1; reg [7:0] sc2mac_dat_data93_d2; reg [7:0] sc2mac_dat_data94_d1; reg [7:0] sc2mac_dat_data94_d2; reg [7:0] sc2mac_dat_data95_d1; reg [7:0] sc2mac_dat_data95_d2; reg [7:0] sc2mac_dat_data96_d1; reg [7:0] sc2mac_dat_data96_d2; reg [7:0] sc2mac_dat_data97_d1; reg [7:0] sc2mac_dat_data97_d2; reg [7:0] sc2mac_dat_data98_d1; reg [7:0] sc2mac_dat_data98_d2; reg [7:0] sc2mac_dat_data99_d1; reg [7:0] sc2mac_dat_data99_d2; reg [7:0] sc2mac_dat_data9_d1; reg [7:0] sc2mac_dat_data9_d2; reg [127:0] sc2mac_dat_mask_d1; reg [127:0] sc2mac_dat_mask_d2; reg [8:0] sc2mac_dat_pd_d1; reg [8:0] sc2mac_dat_pd_d2; reg sc2mac_dat_pvld_d1; reg sc2mac_dat_pvld_d2; reg [7:0] sc2mac_wt_data0_d1; reg [7:0] sc2mac_wt_data0_d2; reg [7:0] sc2mac_wt_data100_d1; reg [7:0] sc2mac_wt_data100_d2; reg [7:0] sc2mac_wt_data101_d1; reg [7:0] sc2mac_wt_data101_d2; reg [7:0] sc2mac_wt_data102_d1; reg [7:0] sc2mac_wt_data102_d2; reg [7:0] sc2mac_wt_data103_d1; reg [7:0] sc2mac_wt_data103_d2; reg [7:0] sc2mac_wt_data104_d1; reg [7:0] sc2mac_wt_data104_d2; reg [7:0] sc2mac_wt_data105_d1; reg [7:0] sc2mac_wt_data105_d2; reg [7:0] sc2mac_wt_data106_d1; reg [7:0] sc2mac_wt_data106_d2; reg [7:0] sc2mac_wt_data107_d1; reg [7:0] sc2mac_wt_data107_d2; reg [7:0] sc2mac_wt_data108_d1; reg [7:0] sc2mac_wt_data108_d2; reg [7:0] sc2mac_wt_data109_d1; reg [7:0] sc2mac_wt_data109_d2; reg [7:0] sc2mac_wt_data10_d1; reg [7:0] sc2mac_wt_data10_d2; reg [7:0] sc2mac_wt_data110_d1; reg [7:0] sc2mac_wt_data110_d2; reg [7:0] sc2mac_wt_data111_d1; reg [7:0] sc2mac_wt_data111_d2; reg [7:0] sc2mac_wt_data112_d1; reg [7:0] sc2mac_wt_data112_d2; reg [7:0] sc2mac_wt_data113_d1; reg [7:0] sc2mac_wt_data113_d2; reg [7:0] sc2mac_wt_data114_d1; reg [7:0] sc2mac_wt_data114_d2; reg [7:0] sc2mac_wt_data115_d1; reg [7:0] sc2mac_wt_data115_d2; reg [7:0] sc2mac_wt_data116_d1; reg [7:0] sc2mac_wt_data116_d2; reg [7:0] sc2mac_wt_data117_d1; reg [7:0] sc2mac_wt_data117_d2; reg [7:0] sc2mac_wt_data118_d1; reg [7:0] sc2mac_wt_data118_d2; reg [7:0] sc2mac_wt_data119_d1; reg [7:0] sc2mac_wt_data119_d2; reg [7:0] sc2mac_wt_data11_d1; reg [7:0] sc2mac_wt_data11_d2; reg [7:0] sc2mac_wt_data120_d1; reg [7:0] sc2mac_wt_data120_d2; reg [7:0] sc2mac_wt_data121_d1; reg [7:0] sc2mac_wt_data121_d2; reg [7:0] sc2mac_wt_data122_d1; reg [7:0] sc2mac_wt_data122_d2; reg [7:0] sc2mac_wt_data123_d1; reg [7:0] sc2mac_wt_data123_d2; reg [7:0] sc2mac_wt_data124_d1; reg [7:0] sc2mac_wt_data124_d2; reg [7:0] sc2mac_wt_data125_d1; reg [7:0] sc2mac_wt_data125_d2; reg [7:0] sc2mac_wt_data126_d1; reg [7:0] sc2mac_wt_data126_d2; reg [7:0] sc2mac_wt_data127_d1; reg [7:0] sc2mac_wt_data127_d2; reg [7:0] sc2mac_wt_data12_d1; reg [7:0] sc2mac_wt_data12_d2; reg [7:0] sc2mac_wt_data13_d1; reg [7:0] sc2mac_wt_data13_d2; reg [7:0] sc2mac_wt_data14_d1; reg [7:0] sc2mac_wt_data14_d2; reg [7:0] sc2mac_wt_data15_d1; reg [7:0] sc2mac_wt_data15_d2; reg [7:0] sc2mac_wt_data16_d1; reg [7:0] sc2mac_wt_data16_d2; reg [7:0] sc2mac_wt_data17_d1; reg [7:0] sc2mac_wt_data17_d2; reg [7:0] sc2mac_wt_data18_d1; reg [7:0] sc2mac_wt_data18_d2; reg [7:0] sc2mac_wt_data19_d1; reg [7:0] sc2mac_wt_data19_d2; reg [7:0] sc2mac_wt_data1_d1; reg [7:0] sc2mac_wt_data1_d2; reg [7:0] sc2mac_wt_data20_d1; reg [7:0] sc2mac_wt_data20_d2; reg [7:0] sc2mac_wt_data21_d1; reg [7:0] sc2mac_wt_data21_d2; reg [7:0] sc2mac_wt_data22_d1; reg [7:0] sc2mac_wt_data22_d2; reg [7:0] sc2mac_wt_data23_d1; reg [7:0] sc2mac_wt_data23_d2; reg [7:0] sc2mac_wt_data24_d1; reg [7:0] sc2mac_wt_data24_d2; reg [7:0] sc2mac_wt_data25_d1; reg [7:0] sc2mac_wt_data25_d2; reg [7:0] sc2mac_wt_data26_d1; reg [7:0] sc2mac_wt_data26_d2; reg [7:0] sc2mac_wt_data27_d1; reg [7:0] sc2mac_wt_data27_d2; reg [7:0] sc2mac_wt_data28_d1; reg [7:0] sc2mac_wt_data28_d2; reg [7:0] sc2mac_wt_data29_d1; reg [7:0] sc2mac_wt_data29_d2; reg [7:0] sc2mac_wt_data2_d1; reg [7:0] sc2mac_wt_data2_d2; reg [7:0] sc2mac_wt_data30_d1; reg [7:0] sc2mac_wt_data30_d2; reg [7:0] sc2mac_wt_data31_d1; reg [7:0] sc2mac_wt_data31_d2; reg [7:0] sc2mac_wt_data32_d1; reg [7:0] sc2mac_wt_data32_d2; reg [7:0] sc2mac_wt_data33_d1; reg [7:0] sc2mac_wt_data33_d2; reg [7:0] sc2mac_wt_data34_d1; reg [7:0] sc2mac_wt_data34_d2; reg [7:0] sc2mac_wt_data35_d1; reg [7:0] sc2mac_wt_data35_d2; reg [7:0] sc2mac_wt_data36_d1; reg [7:0] sc2mac_wt_data36_d2; reg [7:0] sc2mac_wt_data37_d1; reg [7:0] sc2mac_wt_data37_d2; reg [7:0] sc2mac_wt_data38_d1; reg [7:0] sc2mac_wt_data38_d2; reg [7:0] sc2mac_wt_data39_d1; reg [7:0] sc2mac_wt_data39_d2; reg [7:0] sc2mac_wt_data3_d1; reg [7:0] sc2mac_wt_data3_d2; reg [7:0] sc2mac_wt_data40_d1; reg [7:0] sc2mac_wt_data40_d2; reg [7:0] sc2mac_wt_data41_d1; reg [7:0] sc2mac_wt_data41_d2; reg [7:0] sc2mac_wt_data42_d1; reg [7:0] sc2mac_wt_data42_d2; reg [7:0] sc2mac_wt_data43_d1; reg [7:0] sc2mac_wt_data43_d2; reg [7:0] sc2mac_wt_data44_d1; reg [7:0] sc2mac_wt_data44_d2; reg [7:0] sc2mac_wt_data45_d1; reg [7:0] sc2mac_wt_data45_d2; reg [7:0] sc2mac_wt_data46_d1; reg [7:0] sc2mac_wt_data46_d2; reg [7:0] sc2mac_wt_data47_d1; reg [7:0] sc2mac_wt_data47_d2; reg [7:0] sc2mac_wt_data48_d1; reg [7:0] sc2mac_wt_data48_d2; reg [7:0] sc2mac_wt_data49_d1; reg [7:0] sc2mac_wt_data49_d2; reg [7:0] sc2mac_wt_data4_d1; reg [7:0] sc2mac_wt_data4_d2; reg [7:0] sc2mac_wt_data50_d1; reg [7:0] sc2mac_wt_data50_d2; reg [7:0] sc2mac_wt_data51_d1; reg [7:0] sc2mac_wt_data51_d2; reg [7:0] sc2mac_wt_data52_d1; reg [7:0] sc2mac_wt_data52_d2; reg [7:0] sc2mac_wt_data53_d1; reg [7:0] sc2mac_wt_data53_d2; reg [7:0] sc2mac_wt_data54_d1; reg [7:0] sc2mac_wt_data54_d2; reg [7:0] sc2mac_wt_data55_d1; reg [7:0] sc2mac_wt_data55_d2; reg [7:0] sc2mac_wt_data56_d1; reg [7:0] sc2mac_wt_data56_d2; reg [7:0] sc2mac_wt_data57_d1; reg [7:0] sc2mac_wt_data57_d2; reg [7:0] sc2mac_wt_data58_d1; reg [7:0] sc2mac_wt_data58_d2; reg [7:0] sc2mac_wt_data59_d1; reg [7:0] sc2mac_wt_data59_d2; reg [7:0] sc2mac_wt_data5_d1; reg [7:0] sc2mac_wt_data5_d2; reg [7:0] sc2mac_wt_data60_d1; reg [7:0] sc2mac_wt_data60_d2; reg [7:0] sc2mac_wt_data61_d1; reg [7:0] sc2mac_wt_data61_d2; reg [7:0] sc2mac_wt_data62_d1; reg [7:0] sc2mac_wt_data62_d2; reg [7:0] sc2mac_wt_data63_d1; reg [7:0] sc2mac_wt_data63_d2; reg [7:0] sc2mac_wt_data64_d1; reg [7:0] sc2mac_wt_data64_d2; reg [7:0] sc2mac_wt_data65_d1; reg [7:0] sc2mac_wt_data65_d2; reg [7:0] sc2mac_wt_data66_d1; reg [7:0] sc2mac_wt_data66_d2; reg [7:0] sc2mac_wt_data67_d1; reg [7:0] sc2mac_wt_data67_d2; reg [7:0] sc2mac_wt_data68_d1; reg [7:0] sc2mac_wt_data68_d2; reg [7:0] sc2mac_wt_data69_d1; reg [7:0] sc2mac_wt_data69_d2; reg [7:0] sc2mac_wt_data6_d1; reg [7:0] sc2mac_wt_data6_d2; reg [7:0] sc2mac_wt_data70_d1; reg [7:0] sc2mac_wt_data70_d2; reg [7:0] sc2mac_wt_data71_d1; reg [7:0] sc2mac_wt_data71_d2; reg [7:0] sc2mac_wt_data72_d1; reg [7:0] sc2mac_wt_data72_d2; reg [7:0] sc2mac_wt_data73_d1; reg [7:0] sc2mac_wt_data73_d2; reg [7:0] sc2mac_wt_data74_d1; reg [7:0] sc2mac_wt_data74_d2; reg [7:0] sc2mac_wt_data75_d1; reg [7:0] sc2mac_wt_data75_d2; reg [7:0] sc2mac_wt_data76_d1; reg [7:0] sc2mac_wt_data76_d2; reg [7:0] sc2mac_wt_data77_d1; reg [7:0] sc2mac_wt_data77_d2; reg [7:0] sc2mac_wt_data78_d1; reg [7:0] sc2mac_wt_data78_d2; reg [7:0] sc2mac_wt_data79_d1; reg [7:0] sc2mac_wt_data79_d2; reg [7:0] sc2mac_wt_data7_d1; reg [7:0] sc2mac_wt_data7_d2; reg [7:0] sc2mac_wt_data80_d1; reg [7:0] sc2mac_wt_data80_d2; reg [7:0] sc2mac_wt_data81_d1; reg [7:0] sc2mac_wt_data81_d2; reg [7:0] sc2mac_wt_data82_d1; reg [7:0] sc2mac_wt_data82_d2; reg [7:0] sc2mac_wt_data83_d1; reg [7:0] sc2mac_wt_data83_d2; reg [7:0] sc2mac_wt_data84_d1; reg [7:0] sc2mac_wt_data84_d2; reg [7:0] sc2mac_wt_data85_d1; reg [7:0] sc2mac_wt_data85_d2; reg [7:0] sc2mac_wt_data86_d1; reg [7:0] sc2mac_wt_data86_d2; reg [7:0] sc2mac_wt_data87_d1; reg [7:0] sc2mac_wt_data87_d2; reg [7:0] sc2mac_wt_data88_d1; reg [7:0] sc2mac_wt_data88_d2; reg [7:0] sc2mac_wt_data89_d1; reg [7:0] sc2mac_wt_data89_d2; reg [7:0] sc2mac_wt_data8_d1; reg [7:0] sc2mac_wt_data8_d2; reg [7:0] sc2mac_wt_data90_d1; reg [7:0] sc2mac_wt_data90_d2; reg [7:0] sc2mac_wt_data91_d1; reg [7:0] sc2mac_wt_data91_d2; reg [7:0] sc2mac_wt_data92_d1; reg [7:0] sc2mac_wt_data92_d2; reg [7:0] sc2mac_wt_data93_d1; reg [7:0] sc2mac_wt_data93_d2; reg [7:0] sc2mac_wt_data94_d1; reg [7:0] sc2mac_wt_data94_d2; reg [7:0] sc2mac_wt_data95_d1; reg [7:0] sc2mac_wt_data95_d2; reg [7:0] sc2mac_wt_data96_d1; reg [7:0] sc2mac_wt_data96_d2; reg [7:0] sc2mac_wt_data97_d1; reg [7:0] sc2mac_wt_data97_d2; reg [7:0] sc2mac_wt_data98_d1; reg [7:0] sc2mac_wt_data98_d2; reg [7:0] sc2mac_wt_data99_d1; reg [7:0] sc2mac_wt_data99_d2; reg [7:0] sc2mac_wt_data9_d1; reg [7:0] sc2mac_wt_data9_d2; reg [127:0] sc2mac_wt_mask_d1; reg [127:0] sc2mac_wt_mask_d2; reg sc2mac_wt_pvld_d1; reg sc2mac_wt_pvld_d2; reg [7:0] sc2mac_wt_sel_d1; reg [7:0] sc2mac_wt_sel_d2; assign sc2mac_wt_pvld_d0 = sc2mac_wt_src_pvld; assign sc2mac_wt_sel_d0 = sc2mac_wt_src_sel; assign sc2mac_wt_mask_d0 = sc2mac_wt_src_mask; assign sc2mac_wt_data0_d0 = sc2mac_wt_src_data0; assign sc2mac_wt_data1_d0 = sc2mac_wt_src_data1; assign sc2mac_wt_data2_d0 = sc2mac_wt_src_data2; assign sc2mac_wt_data3_d0 = sc2mac_wt_src_data3; assign sc2mac_wt_data4_d0 = sc2mac_wt_src_data4; assign sc2mac_wt_data5_d0 = sc2mac_wt_src_data5; assign sc2mac_wt_data6_d0 = sc2mac_wt_src_data6; assign sc2mac_wt_data7_d0 = sc2mac_wt_src_data7; assign sc2mac_wt_data8_d0 = sc2mac_wt_src_data8; assign sc2mac_wt_data9_d0 = sc2mac_wt_src_data9; assign sc2mac_wt_data10_d0 = sc2mac_wt_src_data10; assign sc2mac_wt_data11_d0 = sc2mac_wt_src_data11; assign sc2mac_wt_data12_d0 = sc2mac_wt_src_data12; assign sc2mac_wt_data13_d0 = sc2mac_wt_src_data13; assign sc2mac_wt_data14_d0 = sc2mac_wt_src_data14; assign sc2mac_wt_data15_d0 = sc2mac_wt_src_data15; assign sc2mac_wt_data16_d0 = sc2mac_wt_src_data16; assign sc2mac_wt_data17_d0 = sc2mac_wt_src_data17; assign sc2mac_wt_data18_d0 = sc2mac_wt_src_data18; assign sc2mac_wt_data19_d0 = sc2mac_wt_src_data19; assign sc2mac_wt_data20_d0 = sc2mac_wt_src_data20; assign sc2mac_wt_data21_d0 = sc2mac_wt_src_data21; assign sc2mac_wt_data22_d0 = sc2mac_wt_src_data22; assign sc2mac_wt_data23_d0 = sc2mac_wt_src_data23; assign sc2mac_wt_data24_d0 = sc2mac_wt_src_data24; assign sc2mac_wt_data25_d0 = sc2mac_wt_src_data25; assign sc2mac_wt_data26_d0 = sc2mac_wt_src_data26; assign sc2mac_wt_data27_d0 = sc2mac_wt_src_data27; assign sc2mac_wt_data28_d0 = sc2mac_wt_src_data28; assign sc2mac_wt_data29_d0 = sc2mac_wt_src_data29; assign sc2mac_wt_data30_d0 = sc2mac_wt_src_data30; assign sc2mac_wt_data31_d0 = sc2mac_wt_src_data31; assign sc2mac_wt_data32_d0 = sc2mac_wt_src_data32; assign sc2mac_wt_data33_d0 = sc2mac_wt_src_data33; assign sc2mac_wt_data34_d0 = sc2mac_wt_src_data34; assign sc2mac_wt_data35_d0 = sc2mac_wt_src_data35; assign sc2mac_wt_data36_d0 = sc2mac_wt_src_data36; assign sc2mac_wt_data37_d0 = sc2mac_wt_src_data37; assign sc2mac_wt_data38_d0 = sc2mac_wt_src_data38; assign sc2mac_wt_data39_d0 = sc2mac_wt_src_data39; assign sc2mac_wt_data40_d0 = sc2mac_wt_src_data40; assign sc2mac_wt_data41_d0 = sc2mac_wt_src_data41; assign sc2mac_wt_data42_d0 = sc2mac_wt_src_data42; assign sc2mac_wt_data43_d0 = sc2mac_wt_src_data43; assign sc2mac_wt_data44_d0 = sc2mac_wt_src_data44; assign sc2mac_wt_data45_d0 = sc2mac_wt_src_data45; assign sc2mac_wt_data46_d0 = sc2mac_wt_src_data46; assign sc2mac_wt_data47_d0 = sc2mac_wt_src_data47; assign sc2mac_wt_data48_d0 = sc2mac_wt_src_data48; assign sc2mac_wt_data49_d0 = sc2mac_wt_src_data49; assign sc2mac_wt_data50_d0 = sc2mac_wt_src_data50; assign sc2mac_wt_data51_d0 = sc2mac_wt_src_data51; assign sc2mac_wt_data52_d0 = sc2mac_wt_src_data52; assign sc2mac_wt_data53_d0 = sc2mac_wt_src_data53; assign sc2mac_wt_data54_d0 = sc2mac_wt_src_data54; assign sc2mac_wt_data55_d0 = sc2mac_wt_src_data55; assign sc2mac_wt_data56_d0 = sc2mac_wt_src_data56; assign sc2mac_wt_data57_d0 = sc2mac_wt_src_data57; assign sc2mac_wt_data58_d0 = sc2mac_wt_src_data58; assign sc2mac_wt_data59_d0 = sc2mac_wt_src_data59; assign sc2mac_wt_data60_d0 = sc2mac_wt_src_data60; assign sc2mac_wt_data61_d0 = sc2mac_wt_src_data61; assign sc2mac_wt_data62_d0 = sc2mac_wt_src_data62; assign sc2mac_wt_data63_d0 = sc2mac_wt_src_data63; assign sc2mac_wt_data64_d0 = sc2mac_wt_src_data64; assign sc2mac_wt_data65_d0 = sc2mac_wt_src_data65; assign sc2mac_wt_data66_d0 = sc2mac_wt_src_data66; assign sc2mac_wt_data67_d0 = sc2mac_wt_src_data67; assign sc2mac_wt_data68_d0 = sc2mac_wt_src_data68; assign sc2mac_wt_data69_d0 = sc2mac_wt_src_data69; assign sc2mac_wt_data70_d0 = sc2mac_wt_src_data70; assign sc2mac_wt_data71_d0 = sc2mac_wt_src_data71; assign sc2mac_wt_data72_d0 = sc2mac_wt_src_data72; assign sc2mac_wt_data73_d0 = sc2mac_wt_src_data73; assign sc2mac_wt_data74_d0 = sc2mac_wt_src_data74; assign sc2mac_wt_data75_d0 = sc2mac_wt_src_data75; assign sc2mac_wt_data76_d0 = sc2mac_wt_src_data76; assign sc2mac_wt_data77_d0 = sc2mac_wt_src_data77; assign sc2mac_wt_data78_d0 = sc2mac_wt_src_data78; assign sc2mac_wt_data79_d0 = sc2mac_wt_src_data79; assign sc2mac_wt_data80_d0 = sc2mac_wt_src_data80; assign sc2mac_wt_data81_d0 = sc2mac_wt_src_data81; assign sc2mac_wt_data82_d0 = sc2mac_wt_src_data82; assign sc2mac_wt_data83_d0 = sc2mac_wt_src_data83; assign sc2mac_wt_data84_d0 = sc2mac_wt_src_data84; assign sc2mac_wt_data85_d0 = sc2mac_wt_src_data85; assign sc2mac_wt_data86_d0 = sc2mac_wt_src_data86; assign sc2mac_wt_data87_d0 = sc2mac_wt_src_data87; assign sc2mac_wt_data88_d0 = sc2mac_wt_src_data88; assign sc2mac_wt_data89_d0 = sc2mac_wt_src_data89; assign sc2mac_wt_data90_d0 = sc2mac_wt_src_data90; assign sc2mac_wt_data91_d0 = sc2mac_wt_src_data91; assign sc2mac_wt_data92_d0 = sc2mac_wt_src_data92; assign sc2mac_wt_data93_d0 = sc2mac_wt_src_data93; assign sc2mac_wt_data94_d0 = sc2mac_wt_src_data94; assign sc2mac_wt_data95_d0 = sc2mac_wt_src_data95; assign sc2mac_wt_data96_d0 = sc2mac_wt_src_data96; assign sc2mac_wt_data97_d0 = sc2mac_wt_src_data97; assign sc2mac_wt_data98_d0 = sc2mac_wt_src_data98; assign sc2mac_wt_data99_d0 = sc2mac_wt_src_data99; assign sc2mac_wt_data100_d0 = sc2mac_wt_src_data100; assign sc2mac_wt_data101_d0 = sc2mac_wt_src_data101; assign sc2mac_wt_data102_d0 = sc2mac_wt_src_data102; assign sc2mac_wt_data103_d0 = sc2mac_wt_src_data103; assign sc2mac_wt_data104_d0 = sc2mac_wt_src_data104; assign sc2mac_wt_data105_d0 = sc2mac_wt_src_data105; assign sc2mac_wt_data106_d0 = sc2mac_wt_src_data106; assign sc2mac_wt_data107_d0 = sc2mac_wt_src_data107; assign sc2mac_wt_data108_d0 = sc2mac_wt_src_data108; assign sc2mac_wt_data109_d0 = sc2mac_wt_src_data109; assign sc2mac_wt_data110_d0 = sc2mac_wt_src_data110; assign sc2mac_wt_data111_d0 = sc2mac_wt_src_data111; assign sc2mac_wt_data112_d0 = sc2mac_wt_src_data112; assign sc2mac_wt_data113_d0 = sc2mac_wt_src_data113; assign sc2mac_wt_data114_d0 = sc2mac_wt_src_data114; assign sc2mac_wt_data115_d0 = sc2mac_wt_src_data115; assign sc2mac_wt_data116_d0 = sc2mac_wt_src_data116; assign sc2mac_wt_data117_d0 = sc2mac_wt_src_data117; assign sc2mac_wt_data118_d0 = sc2mac_wt_src_data118; assign sc2mac_wt_data119_d0 = sc2mac_wt_src_data119; assign sc2mac_wt_data120_d0 = sc2mac_wt_src_data120; assign sc2mac_wt_data121_d0 = sc2mac_wt_src_data121; assign sc2mac_wt_data122_d0 = sc2mac_wt_src_data122; assign sc2mac_wt_data123_d0 = sc2mac_wt_src_data123; assign sc2mac_wt_data124_d0 = sc2mac_wt_src_data124; assign sc2mac_wt_data125_d0 = sc2mac_wt_src_data125; assign sc2mac_wt_data126_d0 = sc2mac_wt_src_data126; assign sc2mac_wt_data127_d0 = sc2mac_wt_src_data127; assign sc2mac_dat_pvld_d0 = sc2mac_dat_src_pvld; assign sc2mac_dat_pd_d0 = sc2mac_dat_src_pd; assign sc2mac_dat_mask_d0 = sc2mac_dat_src_mask; assign sc2mac_dat_data0_d0 = sc2mac_dat_src_data0; assign sc2mac_dat_data1_d0 = sc2mac_dat_src_data1; assign sc2mac_dat_data2_d0 = sc2mac_dat_src_data2; assign sc2mac_dat_data3_d0 = sc2mac_dat_src_data3; assign sc2mac_dat_data4_d0 = sc2mac_dat_src_data4; assign sc2mac_dat_data5_d0 = sc2mac_dat_src_data5; assign sc2mac_dat_data6_d0 = sc2mac_dat_src_data6; assign sc2mac_dat_data7_d0 = sc2mac_dat_src_data7; assign sc2mac_dat_data8_d0 = sc2mac_dat_src_data8; assign sc2mac_dat_data9_d0 = sc2mac_dat_src_data9; assign sc2mac_dat_data10_d0 = sc2mac_dat_src_data10; assign sc2mac_dat_data11_d0 = sc2mac_dat_src_data11; assign sc2mac_dat_data12_d0 = sc2mac_dat_src_data12; assign sc2mac_dat_data13_d0 = sc2mac_dat_src_data13; assign sc2mac_dat_data14_d0 = sc2mac_dat_src_data14; assign sc2mac_dat_data15_d0 = sc2mac_dat_src_data15; assign sc2mac_dat_data16_d0 = sc2mac_dat_src_data16; assign sc2mac_dat_data17_d0 = sc2mac_dat_src_data17; assign sc2mac_dat_data18_d0 = sc2mac_dat_src_data18; assign sc2mac_dat_data19_d0 = sc2mac_dat_src_data19; assign sc2mac_dat_data20_d0 = sc2mac_dat_src_data20; assign sc2mac_dat_data21_d0 = sc2mac_dat_src_data21; assign sc2mac_dat_data22_d0 = sc2mac_dat_src_data22; assign sc2mac_dat_data23_d0 = sc2mac_dat_src_data23; assign sc2mac_dat_data24_d0 = sc2mac_dat_src_data24; assign sc2mac_dat_data25_d0 = sc2mac_dat_src_data25; assign sc2mac_dat_data26_d0 = sc2mac_dat_src_data26; assign sc2mac_dat_data27_d0 = sc2mac_dat_src_data27; assign sc2mac_dat_data28_d0 = sc2mac_dat_src_data28; assign sc2mac_dat_data29_d0 = sc2mac_dat_src_data29; assign sc2mac_dat_data30_d0 = sc2mac_dat_src_data30; assign sc2mac_dat_data31_d0 = sc2mac_dat_src_data31; assign sc2mac_dat_data32_d0 = sc2mac_dat_src_data32; assign sc2mac_dat_data33_d0 = sc2mac_dat_src_data33; assign sc2mac_dat_data34_d0 = sc2mac_dat_src_data34; assign sc2mac_dat_data35_d0 = sc2mac_dat_src_data35; assign sc2mac_dat_data36_d0 = sc2mac_dat_src_data36; assign sc2mac_dat_data37_d0 = sc2mac_dat_src_data37; assign sc2mac_dat_data38_d0 = sc2mac_dat_src_data38; assign sc2mac_dat_data39_d0 = sc2mac_dat_src_data39; assign sc2mac_dat_data40_d0 = sc2mac_dat_src_data40; assign sc2mac_dat_data41_d0 = sc2mac_dat_src_data41; assign sc2mac_dat_data42_d0 = sc2mac_dat_src_data42; assign sc2mac_dat_data43_d0 = sc2mac_dat_src_data43; assign sc2mac_dat_data44_d0 = sc2mac_dat_src_data44; assign sc2mac_dat_data45_d0 = sc2mac_dat_src_data45; assign sc2mac_dat_data46_d0 = sc2mac_dat_src_data46; assign sc2mac_dat_data47_d0 = sc2mac_dat_src_data47; assign sc2mac_dat_data48_d0 = sc2mac_dat_src_data48; assign sc2mac_dat_data49_d0 = sc2mac_dat_src_data49; assign sc2mac_dat_data50_d0 = sc2mac_dat_src_data50; assign sc2mac_dat_data51_d0 = sc2mac_dat_src_data51; assign sc2mac_dat_data52_d0 = sc2mac_dat_src_data52; assign sc2mac_dat_data53_d0 = sc2mac_dat_src_data53; assign sc2mac_dat_data54_d0 = sc2mac_dat_src_data54; assign sc2mac_dat_data55_d0 = sc2mac_dat_src_data55; assign sc2mac_dat_data56_d0 = sc2mac_dat_src_data56; assign sc2mac_dat_data57_d0 = sc2mac_dat_src_data57; assign sc2mac_dat_data58_d0 = sc2mac_dat_src_data58; assign sc2mac_dat_data59_d0 = sc2mac_dat_src_data59; assign sc2mac_dat_data60_d0 = sc2mac_dat_src_data60; assign sc2mac_dat_data61_d0 = sc2mac_dat_src_data61; assign sc2mac_dat_data62_d0 = sc2mac_dat_src_data62; assign sc2mac_dat_data63_d0 = sc2mac_dat_src_data63; assign sc2mac_dat_data64_d0 = sc2mac_dat_src_data64; assign sc2mac_dat_data65_d0 = sc2mac_dat_src_data65; assign sc2mac_dat_data66_d0 = sc2mac_dat_src_data66; assign sc2mac_dat_data67_d0 = sc2mac_dat_src_data67; assign sc2mac_dat_data68_d0 = sc2mac_dat_src_data68; assign sc2mac_dat_data69_d0 = sc2mac_dat_src_data69; assign sc2mac_dat_data70_d0 = sc2mac_dat_src_data70; assign sc2mac_dat_data71_d0 = sc2mac_dat_src_data71; assign sc2mac_dat_data72_d0 = sc2mac_dat_src_data72; assign sc2mac_dat_data73_d0 = sc2mac_dat_src_data73; assign sc2mac_dat_data74_d0 = sc2mac_dat_src_data74; assign sc2mac_dat_data75_d0 = sc2mac_dat_src_data75; assign sc2mac_dat_data76_d0 = sc2mac_dat_src_data76; assign sc2mac_dat_data77_d0 = sc2mac_dat_src_data77; assign sc2mac_dat_data78_d0 = sc2mac_dat_src_data78; assign sc2mac_dat_data79_d0 = sc2mac_dat_src_data79; assign sc2mac_dat_data80_d0 = sc2mac_dat_src_data80; assign sc2mac_dat_data81_d0 = sc2mac_dat_src_data81; assign sc2mac_dat_data82_d0 = sc2mac_dat_src_data82; assign sc2mac_dat_data83_d0 = sc2mac_dat_src_data83; assign sc2mac_dat_data84_d0 = sc2mac_dat_src_data84; assign sc2mac_dat_data85_d0 = sc2mac_dat_src_data85; assign sc2mac_dat_data86_d0 = sc2mac_dat_src_data86; assign sc2mac_dat_data87_d0 = sc2mac_dat_src_data87; assign sc2mac_dat_data88_d0 = sc2mac_dat_src_data88; assign sc2mac_dat_data89_d0 = sc2mac_dat_src_data89; assign sc2mac_dat_data90_d0 = sc2mac_dat_src_data90; assign sc2mac_dat_data91_d0 = sc2mac_dat_src_data91; assign sc2mac_dat_data92_d0 = sc2mac_dat_src_data92; assign sc2mac_dat_data93_d0 = sc2mac_dat_src_data93; assign sc2mac_dat_data94_d0 = sc2mac_dat_src_data94; assign sc2mac_dat_data95_d0 = sc2mac_dat_src_data95; assign sc2mac_dat_data96_d0 = sc2mac_dat_src_data96; assign sc2mac_dat_data97_d0 = sc2mac_dat_src_data97; assign sc2mac_dat_data98_d0 = sc2mac_dat_src_data98; assign sc2mac_dat_data99_d0 = sc2mac_dat_src_data99; assign sc2mac_dat_data100_d0 = sc2mac_dat_src_data100; assign sc2mac_dat_data101_d0 = sc2mac_dat_src_data101; assign sc2mac_dat_data102_d0 = sc2mac_dat_src_data102; assign sc2mac_dat_data103_d0 = sc2mac_dat_src_data103; assign sc2mac_dat_data104_d0 = sc2mac_dat_src_data104; assign sc2mac_dat_data105_d0 = sc2mac_dat_src_data105; assign sc2mac_dat_data106_d0 = sc2mac_dat_src_data106; assign sc2mac_dat_data107_d0 = sc2mac_dat_src_data107; assign sc2mac_dat_data108_d0 = sc2mac_dat_src_data108; assign sc2mac_dat_data109_d0 = sc2mac_dat_src_data109; assign sc2mac_dat_data110_d0 = sc2mac_dat_src_data110; assign sc2mac_dat_data111_d0 = sc2mac_dat_src_data111; assign sc2mac_dat_data112_d0 = sc2mac_dat_src_data112; assign sc2mac_dat_data113_d0 = sc2mac_dat_src_data113; assign sc2mac_dat_data114_d0 = sc2mac_dat_src_data114; assign sc2mac_dat_data115_d0 = sc2mac_dat_src_data115; assign sc2mac_dat_data116_d0 = sc2mac_dat_src_data116; assign sc2mac_dat_data117_d0 = sc2mac_dat_src_data117; assign sc2mac_dat_data118_d0 = sc2mac_dat_src_data118; assign sc2mac_dat_data119_d0 = sc2mac_dat_src_data119; assign sc2mac_dat_data120_d0 = sc2mac_dat_src_data120; assign sc2mac_dat_data121_d0 = sc2mac_dat_src_data121; assign sc2mac_dat_data122_d0 = sc2mac_dat_src_data122; assign sc2mac_dat_data123_d0 = sc2mac_dat_src_data123; assign sc2mac_dat_data124_d0 = sc2mac_dat_src_data124; assign sc2mac_dat_data125_d0 = sc2mac_dat_src_data125; assign sc2mac_dat_data126_d0 = sc2mac_dat_src_data126; assign sc2mac_dat_data127_d0 = sc2mac_dat_src_data127; always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_pvld_d1 <= 1'b0; end else begin sc2mac_wt_pvld_d1 <= sc2mac_wt_pvld_d0; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_sel_d1 <= {8{1'b0}}; end else begin if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b1) begin sc2mac_wt_sel_d1 <= sc2mac_wt_sel_d0; end else if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b0) begin end else begin sc2mac_wt_sel_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_1x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_mask_d1 <= {128{1'b0}}; end else begin if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b1) begin sc2mac_wt_mask_d1 <= sc2mac_wt_mask_d0; end else if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b0) begin end else begin sc2mac_wt_mask_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_2x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[0]) == 1'b1) begin sc2mac_wt_data0_d1 <= sc2mac_wt_data0_d0; end else if ((sc2mac_wt_mask_d0[0]) == 1'b0) begin end else begin sc2mac_wt_data0_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[1]) == 1'b1) begin sc2mac_wt_data1_d1 <= sc2mac_wt_data1_d0; end else if ((sc2mac_wt_mask_d0[1]) == 1'b0) begin end else begin sc2mac_wt_data1_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[2]) == 1'b1) begin sc2mac_wt_data2_d1 <= sc2mac_wt_data2_d0; end else if ((sc2mac_wt_mask_d0[2]) == 1'b0) begin end else begin sc2mac_wt_data2_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[3]) == 1'b1) begin sc2mac_wt_data3_d1 <= sc2mac_wt_data3_d0; end else if ((sc2mac_wt_mask_d0[3]) == 1'b0) begin end else begin sc2mac_wt_data3_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[4]) == 1'b1) begin sc2mac_wt_data4_d1 <= sc2mac_wt_data4_d0; end else if ((sc2mac_wt_mask_d0[4]) == 1'b0) begin end else begin sc2mac_wt_data4_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[5]) == 1'b1) begin sc2mac_wt_data5_d1 <= sc2mac_wt_data5_d0; end else if ((sc2mac_wt_mask_d0[5]) == 1'b0) begin end else begin sc2mac_wt_data5_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[6]) == 1'b1) begin sc2mac_wt_data6_d1 <= sc2mac_wt_data6_d0; end else if ((sc2mac_wt_mask_d0[6]) == 1'b0) begin end else begin sc2mac_wt_data6_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[7]) == 1'b1) begin sc2mac_wt_data7_d1 <= sc2mac_wt_data7_d0; end else if ((sc2mac_wt_mask_d0[7]) == 1'b0) begin end else begin sc2mac_wt_data7_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[8]) == 1'b1) begin sc2mac_wt_data8_d1 <= sc2mac_wt_data8_d0; end else if ((sc2mac_wt_mask_d0[8]) == 1'b0) begin end else begin sc2mac_wt_data8_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[9]) == 1'b1) begin sc2mac_wt_data9_d1 <= sc2mac_wt_data9_d0; end else if ((sc2mac_wt_mask_d0[9]) == 1'b0) begin end else begin sc2mac_wt_data9_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[10]) == 1'b1) begin sc2mac_wt_data10_d1 <= sc2mac_wt_data10_d0; end else if ((sc2mac_wt_mask_d0[10]) == 1'b0) begin end else begin sc2mac_wt_data10_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[11]) == 1'b1) begin sc2mac_wt_data11_d1 <= sc2mac_wt_data11_d0; end else if ((sc2mac_wt_mask_d0[11]) == 1'b0) begin end else begin sc2mac_wt_data11_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[12]) == 1'b1) begin sc2mac_wt_data12_d1 <= sc2mac_wt_data12_d0; end else if ((sc2mac_wt_mask_d0[12]) == 1'b0) begin end else begin sc2mac_wt_data12_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[13]) == 1'b1) begin sc2mac_wt_data13_d1 <= sc2mac_wt_data13_d0; end else if ((sc2mac_wt_mask_d0[13]) == 1'b0) begin end else begin sc2mac_wt_data13_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[14]) == 1'b1) begin sc2mac_wt_data14_d1 <= sc2mac_wt_data14_d0; end else if ((sc2mac_wt_mask_d0[14]) == 1'b0) begin end else begin sc2mac_wt_data14_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[15]) == 1'b1) begin sc2mac_wt_data15_d1 <= sc2mac_wt_data15_d0; end else if ((sc2mac_wt_mask_d0[15]) == 1'b0) begin end else begin sc2mac_wt_data15_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[16]) == 1'b1) begin sc2mac_wt_data16_d1 <= sc2mac_wt_data16_d0; end else if ((sc2mac_wt_mask_d0[16]) == 1'b0) begin end else begin sc2mac_wt_data16_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[17]) == 1'b1) begin sc2mac_wt_data17_d1 <= sc2mac_wt_data17_d0; end else if ((sc2mac_wt_mask_d0[17]) == 1'b0) begin end else begin sc2mac_wt_data17_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[18]) == 1'b1) begin sc2mac_wt_data18_d1 <= sc2mac_wt_data18_d0; end else if ((sc2mac_wt_mask_d0[18]) == 1'b0) begin end else begin sc2mac_wt_data18_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[19]) == 1'b1) begin sc2mac_wt_data19_d1 <= sc2mac_wt_data19_d0; end else if ((sc2mac_wt_mask_d0[19]) == 1'b0) begin end else begin sc2mac_wt_data19_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[20]) == 1'b1) begin sc2mac_wt_data20_d1 <= sc2mac_wt_data20_d0; end else if ((sc2mac_wt_mask_d0[20]) == 1'b0) begin end else begin sc2mac_wt_data20_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[21]) == 1'b1) begin sc2mac_wt_data21_d1 <= sc2mac_wt_data21_d0; end else if ((sc2mac_wt_mask_d0[21]) == 1'b0) begin end else begin sc2mac_wt_data21_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[22]) == 1'b1) begin sc2mac_wt_data22_d1 <= sc2mac_wt_data22_d0; end else if ((sc2mac_wt_mask_d0[22]) == 1'b0) begin end else begin sc2mac_wt_data22_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[23]) == 1'b1) begin sc2mac_wt_data23_d1 <= sc2mac_wt_data23_d0; end else if ((sc2mac_wt_mask_d0[23]) == 1'b0) begin end else begin sc2mac_wt_data23_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[24]) == 1'b1) begin sc2mac_wt_data24_d1 <= sc2mac_wt_data24_d0; end else if ((sc2mac_wt_mask_d0[24]) == 1'b0) begin end else begin sc2mac_wt_data24_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[25]) == 1'b1) begin sc2mac_wt_data25_d1 <= sc2mac_wt_data25_d0; end else if ((sc2mac_wt_mask_d0[25]) == 1'b0) begin end else begin sc2mac_wt_data25_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[26]) == 1'b1) begin sc2mac_wt_data26_d1 <= sc2mac_wt_data26_d0; end else if ((sc2mac_wt_mask_d0[26]) == 1'b0) begin end else begin sc2mac_wt_data26_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[27]) == 1'b1) begin sc2mac_wt_data27_d1 <= sc2mac_wt_data27_d0; end else if ((sc2mac_wt_mask_d0[27]) == 1'b0) begin end else begin sc2mac_wt_data27_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[28]) == 1'b1) begin sc2mac_wt_data28_d1 <= sc2mac_wt_data28_d0; end else if ((sc2mac_wt_mask_d0[28]) == 1'b0) begin end else begin sc2mac_wt_data28_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[29]) == 1'b1) begin sc2mac_wt_data29_d1 <= sc2mac_wt_data29_d0; end else if ((sc2mac_wt_mask_d0[29]) == 1'b0) begin end else begin sc2mac_wt_data29_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[30]) == 1'b1) begin sc2mac_wt_data30_d1 <= sc2mac_wt_data30_d0; end else if ((sc2mac_wt_mask_d0[30]) == 1'b0) begin end else begin sc2mac_wt_data30_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[31]) == 1'b1) begin sc2mac_wt_data31_d1 <= sc2mac_wt_data31_d0; end else if ((sc2mac_wt_mask_d0[31]) == 1'b0) begin end else begin sc2mac_wt_data31_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[32]) == 1'b1) begin sc2mac_wt_data32_d1 <= sc2mac_wt_data32_d0; end else if ((sc2mac_wt_mask_d0[32]) == 1'b0) begin end else begin sc2mac_wt_data32_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[33]) == 1'b1) begin sc2mac_wt_data33_d1 <= sc2mac_wt_data33_d0; end else if ((sc2mac_wt_mask_d0[33]) == 1'b0) begin end else begin sc2mac_wt_data33_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[34]) == 1'b1) begin sc2mac_wt_data34_d1 <= sc2mac_wt_data34_d0; end else if ((sc2mac_wt_mask_d0[34]) == 1'b0) begin end else begin sc2mac_wt_data34_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[35]) == 1'b1) begin sc2mac_wt_data35_d1 <= sc2mac_wt_data35_d0; end else if ((sc2mac_wt_mask_d0[35]) == 1'b0) begin end else begin sc2mac_wt_data35_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[36]) == 1'b1) begin sc2mac_wt_data36_d1 <= sc2mac_wt_data36_d0; end else if ((sc2mac_wt_mask_d0[36]) == 1'b0) begin end else begin sc2mac_wt_data36_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[37]) == 1'b1) begin sc2mac_wt_data37_d1 <= sc2mac_wt_data37_d0; end else if ((sc2mac_wt_mask_d0[37]) == 1'b0) begin end else begin sc2mac_wt_data37_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[38]) == 1'b1) begin sc2mac_wt_data38_d1 <= sc2mac_wt_data38_d0; end else if ((sc2mac_wt_mask_d0[38]) == 1'b0) begin end else begin sc2mac_wt_data38_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[39]) == 1'b1) begin sc2mac_wt_data39_d1 <= sc2mac_wt_data39_d0; end else if ((sc2mac_wt_mask_d0[39]) == 1'b0) begin end else begin sc2mac_wt_data39_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[40]) == 1'b1) begin sc2mac_wt_data40_d1 <= sc2mac_wt_data40_d0; end else if ((sc2mac_wt_mask_d0[40]) == 1'b0) begin end else begin sc2mac_wt_data40_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[41]) == 1'b1) begin sc2mac_wt_data41_d1 <= sc2mac_wt_data41_d0; end else if ((sc2mac_wt_mask_d0[41]) == 1'b0) begin end else begin sc2mac_wt_data41_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[42]) == 1'b1) begin sc2mac_wt_data42_d1 <= sc2mac_wt_data42_d0; end else if ((sc2mac_wt_mask_d0[42]) == 1'b0) begin end else begin sc2mac_wt_data42_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[43]) == 1'b1) begin sc2mac_wt_data43_d1 <= sc2mac_wt_data43_d0; end else if ((sc2mac_wt_mask_d0[43]) == 1'b0) begin end else begin sc2mac_wt_data43_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[44]) == 1'b1) begin sc2mac_wt_data44_d1 <= sc2mac_wt_data44_d0; end else if ((sc2mac_wt_mask_d0[44]) == 1'b0) begin end else begin sc2mac_wt_data44_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[45]) == 1'b1) begin sc2mac_wt_data45_d1 <= sc2mac_wt_data45_d0; end else if ((sc2mac_wt_mask_d0[45]) == 1'b0) begin end else begin sc2mac_wt_data45_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[46]) == 1'b1) begin sc2mac_wt_data46_d1 <= sc2mac_wt_data46_d0; end else if ((sc2mac_wt_mask_d0[46]) == 1'b0) begin end else begin sc2mac_wt_data46_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[47]) == 1'b1) begin sc2mac_wt_data47_d1 <= sc2mac_wt_data47_d0; end else if ((sc2mac_wt_mask_d0[47]) == 1'b0) begin end else begin sc2mac_wt_data47_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[48]) == 1'b1) begin sc2mac_wt_data48_d1 <= sc2mac_wt_data48_d0; end else if ((sc2mac_wt_mask_d0[48]) == 1'b0) begin end else begin sc2mac_wt_data48_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[49]) == 1'b1) begin sc2mac_wt_data49_d1 <= sc2mac_wt_data49_d0; end else if ((sc2mac_wt_mask_d0[49]) == 1'b0) begin end else begin sc2mac_wt_data49_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[50]) == 1'b1) begin sc2mac_wt_data50_d1 <= sc2mac_wt_data50_d0; end else if ((sc2mac_wt_mask_d0[50]) == 1'b0) begin end else begin sc2mac_wt_data50_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[51]) == 1'b1) begin sc2mac_wt_data51_d1 <= sc2mac_wt_data51_d0; end else if ((sc2mac_wt_mask_d0[51]) == 1'b0) begin end else begin sc2mac_wt_data51_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[52]) == 1'b1) begin sc2mac_wt_data52_d1 <= sc2mac_wt_data52_d0; end else if ((sc2mac_wt_mask_d0[52]) == 1'b0) begin end else begin sc2mac_wt_data52_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[53]) == 1'b1) begin sc2mac_wt_data53_d1 <= sc2mac_wt_data53_d0; end else if ((sc2mac_wt_mask_d0[53]) == 1'b0) begin end else begin sc2mac_wt_data53_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[54]) == 1'b1) begin sc2mac_wt_data54_d1 <= sc2mac_wt_data54_d0; end else if ((sc2mac_wt_mask_d0[54]) == 1'b0) begin end else begin sc2mac_wt_data54_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[55]) == 1'b1) begin sc2mac_wt_data55_d1 <= sc2mac_wt_data55_d0; end else if ((sc2mac_wt_mask_d0[55]) == 1'b0) begin end else begin sc2mac_wt_data55_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[56]) == 1'b1) begin sc2mac_wt_data56_d1 <= sc2mac_wt_data56_d0; end else if ((sc2mac_wt_mask_d0[56]) == 1'b0) begin end else begin sc2mac_wt_data56_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[57]) == 1'b1) begin sc2mac_wt_data57_d1 <= sc2mac_wt_data57_d0; end else if ((sc2mac_wt_mask_d0[57]) == 1'b0) begin end else begin sc2mac_wt_data57_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[58]) == 1'b1) begin sc2mac_wt_data58_d1 <= sc2mac_wt_data58_d0; end else if ((sc2mac_wt_mask_d0[58]) == 1'b0) begin end else begin sc2mac_wt_data58_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[59]) == 1'b1) begin sc2mac_wt_data59_d1 <= sc2mac_wt_data59_d0; end else if ((sc2mac_wt_mask_d0[59]) == 1'b0) begin end else begin sc2mac_wt_data59_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[60]) == 1'b1) begin sc2mac_wt_data60_d1 <= sc2mac_wt_data60_d0; end else if ((sc2mac_wt_mask_d0[60]) == 1'b0) begin end else begin sc2mac_wt_data60_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[61]) == 1'b1) begin sc2mac_wt_data61_d1 <= sc2mac_wt_data61_d0; end else if ((sc2mac_wt_mask_d0[61]) == 1'b0) begin end else begin sc2mac_wt_data61_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[62]) == 1'b1) begin sc2mac_wt_data62_d1 <= sc2mac_wt_data62_d0; end else if ((sc2mac_wt_mask_d0[62]) == 1'b0) begin end else begin sc2mac_wt_data62_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[63]) == 1'b1) begin sc2mac_wt_data63_d1 <= sc2mac_wt_data63_d0; end else if ((sc2mac_wt_mask_d0[63]) == 1'b0) begin end else begin sc2mac_wt_data63_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[64]) == 1'b1) begin sc2mac_wt_data64_d1 <= sc2mac_wt_data64_d0; end else if ((sc2mac_wt_mask_d0[64]) == 1'b0) begin end else begin sc2mac_wt_data64_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[65]) == 1'b1) begin sc2mac_wt_data65_d1 <= sc2mac_wt_data65_d0; end else if ((sc2mac_wt_mask_d0[65]) == 1'b0) begin end else begin sc2mac_wt_data65_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[66]) == 1'b1) begin sc2mac_wt_data66_d1 <= sc2mac_wt_data66_d0; end else if ((sc2mac_wt_mask_d0[66]) == 1'b0) begin end else begin sc2mac_wt_data66_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[67]) == 1'b1) begin sc2mac_wt_data67_d1 <= sc2mac_wt_data67_d0; end else if ((sc2mac_wt_mask_d0[67]) == 1'b0) begin end else begin sc2mac_wt_data67_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[68]) == 1'b1) begin sc2mac_wt_data68_d1 <= sc2mac_wt_data68_d0; end else if ((sc2mac_wt_mask_d0[68]) == 1'b0) begin end else begin sc2mac_wt_data68_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[69]) == 1'b1) begin sc2mac_wt_data69_d1 <= sc2mac_wt_data69_d0; end else if ((sc2mac_wt_mask_d0[69]) == 1'b0) begin end else begin sc2mac_wt_data69_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[70]) == 1'b1) begin sc2mac_wt_data70_d1 <= sc2mac_wt_data70_d0; end else if ((sc2mac_wt_mask_d0[70]) == 1'b0) begin end else begin sc2mac_wt_data70_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[71]) == 1'b1) begin sc2mac_wt_data71_d1 <= sc2mac_wt_data71_d0; end else if ((sc2mac_wt_mask_d0[71]) == 1'b0) begin end else begin sc2mac_wt_data71_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[72]) == 1'b1) begin sc2mac_wt_data72_d1 <= sc2mac_wt_data72_d0; end else if ((sc2mac_wt_mask_d0[72]) == 1'b0) begin end else begin sc2mac_wt_data72_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[73]) == 1'b1) begin sc2mac_wt_data73_d1 <= sc2mac_wt_data73_d0; end else if ((sc2mac_wt_mask_d0[73]) == 1'b0) begin end else begin sc2mac_wt_data73_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[74]) == 1'b1) begin sc2mac_wt_data74_d1 <= sc2mac_wt_data74_d0; end else if ((sc2mac_wt_mask_d0[74]) == 1'b0) begin end else begin sc2mac_wt_data74_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[75]) == 1'b1) begin sc2mac_wt_data75_d1 <= sc2mac_wt_data75_d0; end else if ((sc2mac_wt_mask_d0[75]) == 1'b0) begin end else begin sc2mac_wt_data75_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[76]) == 1'b1) begin sc2mac_wt_data76_d1 <= sc2mac_wt_data76_d0; end else if ((sc2mac_wt_mask_d0[76]) == 1'b0) begin end else begin sc2mac_wt_data76_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[77]) == 1'b1) begin sc2mac_wt_data77_d1 <= sc2mac_wt_data77_d0; end else if ((sc2mac_wt_mask_d0[77]) == 1'b0) begin end else begin sc2mac_wt_data77_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[78]) == 1'b1) begin sc2mac_wt_data78_d1 <= sc2mac_wt_data78_d0; end else if ((sc2mac_wt_mask_d0[78]) == 1'b0) begin end else begin sc2mac_wt_data78_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[79]) == 1'b1) begin sc2mac_wt_data79_d1 <= sc2mac_wt_data79_d0; end else if ((sc2mac_wt_mask_d0[79]) == 1'b0) begin end else begin sc2mac_wt_data79_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[80]) == 1'b1) begin sc2mac_wt_data80_d1 <= sc2mac_wt_data80_d0; end else if ((sc2mac_wt_mask_d0[80]) == 1'b0) begin end else begin sc2mac_wt_data80_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[81]) == 1'b1) begin sc2mac_wt_data81_d1 <= sc2mac_wt_data81_d0; end else if ((sc2mac_wt_mask_d0[81]) == 1'b0) begin end else begin sc2mac_wt_data81_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[82]) == 1'b1) begin sc2mac_wt_data82_d1 <= sc2mac_wt_data82_d0; end else if ((sc2mac_wt_mask_d0[82]) == 1'b0) begin end else begin sc2mac_wt_data82_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[83]) == 1'b1) begin sc2mac_wt_data83_d1 <= sc2mac_wt_data83_d0; end else if ((sc2mac_wt_mask_d0[83]) == 1'b0) begin end else begin sc2mac_wt_data83_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[84]) == 1'b1) begin sc2mac_wt_data84_d1 <= sc2mac_wt_data84_d0; end else if ((sc2mac_wt_mask_d0[84]) == 1'b0) begin end else begin sc2mac_wt_data84_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[85]) == 1'b1) begin sc2mac_wt_data85_d1 <= sc2mac_wt_data85_d0; end else if ((sc2mac_wt_mask_d0[85]) == 1'b0) begin end else begin sc2mac_wt_data85_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[86]) == 1'b1) begin sc2mac_wt_data86_d1 <= sc2mac_wt_data86_d0; end else if ((sc2mac_wt_mask_d0[86]) == 1'b0) begin end else begin sc2mac_wt_data86_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[87]) == 1'b1) begin sc2mac_wt_data87_d1 <= sc2mac_wt_data87_d0; end else if ((sc2mac_wt_mask_d0[87]) == 1'b0) begin end else begin sc2mac_wt_data87_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[88]) == 1'b1) begin sc2mac_wt_data88_d1 <= sc2mac_wt_data88_d0; end else if ((sc2mac_wt_mask_d0[88]) == 1'b0) begin end else begin sc2mac_wt_data88_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[89]) == 1'b1) begin sc2mac_wt_data89_d1 <= sc2mac_wt_data89_d0; end else if ((sc2mac_wt_mask_d0[89]) == 1'b0) begin end else begin sc2mac_wt_data89_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[90]) == 1'b1) begin sc2mac_wt_data90_d1 <= sc2mac_wt_data90_d0; end else if ((sc2mac_wt_mask_d0[90]) == 1'b0) begin end else begin sc2mac_wt_data90_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[91]) == 1'b1) begin sc2mac_wt_data91_d1 <= sc2mac_wt_data91_d0; end else if ((sc2mac_wt_mask_d0[91]) == 1'b0) begin end else begin sc2mac_wt_data91_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[92]) == 1'b1) begin sc2mac_wt_data92_d1 <= sc2mac_wt_data92_d0; end else if ((sc2mac_wt_mask_d0[92]) == 1'b0) begin end else begin sc2mac_wt_data92_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[93]) == 1'b1) begin sc2mac_wt_data93_d1 <= sc2mac_wt_data93_d0; end else if ((sc2mac_wt_mask_d0[93]) == 1'b0) begin end else begin sc2mac_wt_data93_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[94]) == 1'b1) begin sc2mac_wt_data94_d1 <= sc2mac_wt_data94_d0; end else if ((sc2mac_wt_mask_d0[94]) == 1'b0) begin end else begin sc2mac_wt_data94_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[95]) == 1'b1) begin sc2mac_wt_data95_d1 <= sc2mac_wt_data95_d0; end else if ((sc2mac_wt_mask_d0[95]) == 1'b0) begin end else begin sc2mac_wt_data95_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[96]) == 1'b1) begin sc2mac_wt_data96_d1 <= sc2mac_wt_data96_d0; end else if ((sc2mac_wt_mask_d0[96]) == 1'b0) begin end else begin sc2mac_wt_data96_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[97]) == 1'b1) begin sc2mac_wt_data97_d1 <= sc2mac_wt_data97_d0; end else if ((sc2mac_wt_mask_d0[97]) == 1'b0) begin end else begin sc2mac_wt_data97_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[98]) == 1'b1) begin sc2mac_wt_data98_d1 <= sc2mac_wt_data98_d0; end else if ((sc2mac_wt_mask_d0[98]) == 1'b0) begin end else begin sc2mac_wt_data98_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[99]) == 1'b1) begin sc2mac_wt_data99_d1 <= sc2mac_wt_data99_d0; end else if ((sc2mac_wt_mask_d0[99]) == 1'b0) begin end else begin sc2mac_wt_data99_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[100]) == 1'b1) begin sc2mac_wt_data100_d1 <= sc2mac_wt_data100_d0; end else if ((sc2mac_wt_mask_d0[100]) == 1'b0) begin end else begin sc2mac_wt_data100_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[101]) == 1'b1) begin sc2mac_wt_data101_d1 <= sc2mac_wt_data101_d0; end else if ((sc2mac_wt_mask_d0[101]) == 1'b0) begin end else begin sc2mac_wt_data101_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[102]) == 1'b1) begin sc2mac_wt_data102_d1 <= sc2mac_wt_data102_d0; end else if ((sc2mac_wt_mask_d0[102]) == 1'b0) begin end else begin sc2mac_wt_data102_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[103]) == 1'b1) begin sc2mac_wt_data103_d1 <= sc2mac_wt_data103_d0; end else if ((sc2mac_wt_mask_d0[103]) == 1'b0) begin end else begin sc2mac_wt_data103_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[104]) == 1'b1) begin sc2mac_wt_data104_d1 <= sc2mac_wt_data104_d0; end else if ((sc2mac_wt_mask_d0[104]) == 1'b0) begin end else begin sc2mac_wt_data104_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[105]) == 1'b1) begin sc2mac_wt_data105_d1 <= sc2mac_wt_data105_d0; end else if ((sc2mac_wt_mask_d0[105]) == 1'b0) begin end else begin sc2mac_wt_data105_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[106]) == 1'b1) begin sc2mac_wt_data106_d1 <= sc2mac_wt_data106_d0; end else if ((sc2mac_wt_mask_d0[106]) == 1'b0) begin end else begin sc2mac_wt_data106_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[107]) == 1'b1) begin sc2mac_wt_data107_d1 <= sc2mac_wt_data107_d0; end else if ((sc2mac_wt_mask_d0[107]) == 1'b0) begin end else begin sc2mac_wt_data107_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[108]) == 1'b1) begin sc2mac_wt_data108_d1 <= sc2mac_wt_data108_d0; end else if ((sc2mac_wt_mask_d0[108]) == 1'b0) begin end else begin sc2mac_wt_data108_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[109]) == 1'b1) begin sc2mac_wt_data109_d1 <= sc2mac_wt_data109_d0; end else if ((sc2mac_wt_mask_d0[109]) == 1'b0) begin end else begin sc2mac_wt_data109_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[110]) == 1'b1) begin sc2mac_wt_data110_d1 <= sc2mac_wt_data110_d0; end else if ((sc2mac_wt_mask_d0[110]) == 1'b0) begin end else begin sc2mac_wt_data110_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[111]) == 1'b1) begin sc2mac_wt_data111_d1 <= sc2mac_wt_data111_d0; end else if ((sc2mac_wt_mask_d0[111]) == 1'b0) begin end else begin sc2mac_wt_data111_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[112]) == 1'b1) begin sc2mac_wt_data112_d1 <= sc2mac_wt_data112_d0; end else if ((sc2mac_wt_mask_d0[112]) == 1'b0) begin end else begin sc2mac_wt_data112_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[113]) == 1'b1) begin sc2mac_wt_data113_d1 <= sc2mac_wt_data113_d0; end else if ((sc2mac_wt_mask_d0[113]) == 1'b0) begin end else begin sc2mac_wt_data113_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[114]) == 1'b1) begin sc2mac_wt_data114_d1 <= sc2mac_wt_data114_d0; end else if ((sc2mac_wt_mask_d0[114]) == 1'b0) begin end else begin sc2mac_wt_data114_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[115]) == 1'b1) begin sc2mac_wt_data115_d1 <= sc2mac_wt_data115_d0; end else if ((sc2mac_wt_mask_d0[115]) == 1'b0) begin end else begin sc2mac_wt_data115_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[116]) == 1'b1) begin sc2mac_wt_data116_d1 <= sc2mac_wt_data116_d0; end else if ((sc2mac_wt_mask_d0[116]) == 1'b0) begin end else begin sc2mac_wt_data116_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[117]) == 1'b1) begin sc2mac_wt_data117_d1 <= sc2mac_wt_data117_d0; end else if ((sc2mac_wt_mask_d0[117]) == 1'b0) begin end else begin sc2mac_wt_data117_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[118]) == 1'b1) begin sc2mac_wt_data118_d1 <= sc2mac_wt_data118_d0; end else if ((sc2mac_wt_mask_d0[118]) == 1'b0) begin end else begin sc2mac_wt_data118_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[119]) == 1'b1) begin sc2mac_wt_data119_d1 <= sc2mac_wt_data119_d0; end else if ((sc2mac_wt_mask_d0[119]) == 1'b0) begin end else begin sc2mac_wt_data119_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[120]) == 1'b1) begin sc2mac_wt_data120_d1 <= sc2mac_wt_data120_d0; end else if ((sc2mac_wt_mask_d0[120]) == 1'b0) begin end else begin sc2mac_wt_data120_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[121]) == 1'b1) begin sc2mac_wt_data121_d1 <= sc2mac_wt_data121_d0; end else if ((sc2mac_wt_mask_d0[121]) == 1'b0) begin end else begin sc2mac_wt_data121_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[122]) == 1'b1) begin sc2mac_wt_data122_d1 <= sc2mac_wt_data122_d0; end else if ((sc2mac_wt_mask_d0[122]) == 1'b0) begin end else begin sc2mac_wt_data122_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[123]) == 1'b1) begin sc2mac_wt_data123_d1 <= sc2mac_wt_data123_d0; end else if ((sc2mac_wt_mask_d0[123]) == 1'b0) begin end else begin sc2mac_wt_data123_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[124]) == 1'b1) begin sc2mac_wt_data124_d1 <= sc2mac_wt_data124_d0; end else if ((sc2mac_wt_mask_d0[124]) == 1'b0) begin end else begin sc2mac_wt_data124_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[125]) == 1'b1) begin sc2mac_wt_data125_d1 <= sc2mac_wt_data125_d0; end else if ((sc2mac_wt_mask_d0[125]) == 1'b0) begin end else begin sc2mac_wt_data125_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[126]) == 1'b1) begin sc2mac_wt_data126_d1 <= sc2mac_wt_data126_d0; end else if ((sc2mac_wt_mask_d0[126]) == 1'b0) begin end else begin sc2mac_wt_data126_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[127]) == 1'b1) begin sc2mac_wt_data127_d1 <= sc2mac_wt_data127_d0; end else if ((sc2mac_wt_mask_d0[127]) == 1'b0) begin end else begin sc2mac_wt_data127_d1 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pvld_d1 <= 1'b0; end else begin sc2mac_dat_pvld_d1 <= sc2mac_dat_pvld_d0; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pd_d1 <= {9{1'b0}}; end else begin if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b1) begin sc2mac_dat_pd_d1 <= sc2mac_dat_pd_d0; end else if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b0) begin end else begin sc2mac_dat_pd_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_3x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_mask_d1 <= {128{1'b0}}; end else begin if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b1) begin sc2mac_dat_mask_d1 <= sc2mac_dat_mask_d0; end else if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b0) begin end else begin sc2mac_dat_mask_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_4x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[0]) == 1'b1) begin sc2mac_dat_data0_d1 <= sc2mac_dat_data0_d0; end else if ((sc2mac_dat_mask_d0[0]) == 1'b0) begin end else begin sc2mac_dat_data0_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[1]) == 1'b1) begin sc2mac_dat_data1_d1 <= sc2mac_dat_data1_d0; end else if ((sc2mac_dat_mask_d0[1]) == 1'b0) begin end else begin sc2mac_dat_data1_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[2]) == 1'b1) begin sc2mac_dat_data2_d1 <= sc2mac_dat_data2_d0; end else if ((sc2mac_dat_mask_d0[2]) == 1'b0) begin end else begin sc2mac_dat_data2_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[3]) == 1'b1) begin sc2mac_dat_data3_d1 <= sc2mac_dat_data3_d0; end else if ((sc2mac_dat_mask_d0[3]) == 1'b0) begin end else begin sc2mac_dat_data3_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[4]) == 1'b1) begin sc2mac_dat_data4_d1 <= sc2mac_dat_data4_d0; end else if ((sc2mac_dat_mask_d0[4]) == 1'b0) begin end else begin sc2mac_dat_data4_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[5]) == 1'b1) begin sc2mac_dat_data5_d1 <= sc2mac_dat_data5_d0; end else if ((sc2mac_dat_mask_d0[5]) == 1'b0) begin end else begin sc2mac_dat_data5_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[6]) == 1'b1) begin sc2mac_dat_data6_d1 <= sc2mac_dat_data6_d0; end else if ((sc2mac_dat_mask_d0[6]) == 1'b0) begin end else begin sc2mac_dat_data6_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[7]) == 1'b1) begin sc2mac_dat_data7_d1 <= sc2mac_dat_data7_d0; end else if ((sc2mac_dat_mask_d0[7]) == 1'b0) begin end else begin sc2mac_dat_data7_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[8]) == 1'b1) begin sc2mac_dat_data8_d1 <= sc2mac_dat_data8_d0; end else if ((sc2mac_dat_mask_d0[8]) == 1'b0) begin end else begin sc2mac_dat_data8_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[9]) == 1'b1) begin sc2mac_dat_data9_d1 <= sc2mac_dat_data9_d0; end else if ((sc2mac_dat_mask_d0[9]) == 1'b0) begin end else begin sc2mac_dat_data9_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[10]) == 1'b1) begin sc2mac_dat_data10_d1 <= sc2mac_dat_data10_d0; end else if ((sc2mac_dat_mask_d0[10]) == 1'b0) begin end else begin sc2mac_dat_data10_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[11]) == 1'b1) begin sc2mac_dat_data11_d1 <= sc2mac_dat_data11_d0; end else if ((sc2mac_dat_mask_d0[11]) == 1'b0) begin end else begin sc2mac_dat_data11_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[12]) == 1'b1) begin sc2mac_dat_data12_d1 <= sc2mac_dat_data12_d0; end else if ((sc2mac_dat_mask_d0[12]) == 1'b0) begin end else begin sc2mac_dat_data12_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[13]) == 1'b1) begin sc2mac_dat_data13_d1 <= sc2mac_dat_data13_d0; end else if ((sc2mac_dat_mask_d0[13]) == 1'b0) begin end else begin sc2mac_dat_data13_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[14]) == 1'b1) begin sc2mac_dat_data14_d1 <= sc2mac_dat_data14_d0; end else if ((sc2mac_dat_mask_d0[14]) == 1'b0) begin end else begin sc2mac_dat_data14_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[15]) == 1'b1) begin sc2mac_dat_data15_d1 <= sc2mac_dat_data15_d0; end else if ((sc2mac_dat_mask_d0[15]) == 1'b0) begin end else begin sc2mac_dat_data15_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[16]) == 1'b1) begin sc2mac_dat_data16_d1 <= sc2mac_dat_data16_d0; end else if ((sc2mac_dat_mask_d0[16]) == 1'b0) begin end else begin sc2mac_dat_data16_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[17]) == 1'b1) begin sc2mac_dat_data17_d1 <= sc2mac_dat_data17_d0; end else if ((sc2mac_dat_mask_d0[17]) == 1'b0) begin end else begin sc2mac_dat_data17_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[18]) == 1'b1) begin sc2mac_dat_data18_d1 <= sc2mac_dat_data18_d0; end else if ((sc2mac_dat_mask_d0[18]) == 1'b0) begin end else begin sc2mac_dat_data18_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[19]) == 1'b1) begin sc2mac_dat_data19_d1 <= sc2mac_dat_data19_d0; end else if ((sc2mac_dat_mask_d0[19]) == 1'b0) begin end else begin sc2mac_dat_data19_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[20]) == 1'b1) begin sc2mac_dat_data20_d1 <= sc2mac_dat_data20_d0; end else if ((sc2mac_dat_mask_d0[20]) == 1'b0) begin end else begin sc2mac_dat_data20_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[21]) == 1'b1) begin sc2mac_dat_data21_d1 <= sc2mac_dat_data21_d0; end else if ((sc2mac_dat_mask_d0[21]) == 1'b0) begin end else begin sc2mac_dat_data21_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[22]) == 1'b1) begin sc2mac_dat_data22_d1 <= sc2mac_dat_data22_d0; end else if ((sc2mac_dat_mask_d0[22]) == 1'b0) begin end else begin sc2mac_dat_data22_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[23]) == 1'b1) begin sc2mac_dat_data23_d1 <= sc2mac_dat_data23_d0; end else if ((sc2mac_dat_mask_d0[23]) == 1'b0) begin end else begin sc2mac_dat_data23_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[24]) == 1'b1) begin sc2mac_dat_data24_d1 <= sc2mac_dat_data24_d0; end else if ((sc2mac_dat_mask_d0[24]) == 1'b0) begin end else begin sc2mac_dat_data24_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[25]) == 1'b1) begin sc2mac_dat_data25_d1 <= sc2mac_dat_data25_d0; end else if ((sc2mac_dat_mask_d0[25]) == 1'b0) begin end else begin sc2mac_dat_data25_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[26]) == 1'b1) begin sc2mac_dat_data26_d1 <= sc2mac_dat_data26_d0; end else if ((sc2mac_dat_mask_d0[26]) == 1'b0) begin end else begin sc2mac_dat_data26_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[27]) == 1'b1) begin sc2mac_dat_data27_d1 <= sc2mac_dat_data27_d0; end else if ((sc2mac_dat_mask_d0[27]) == 1'b0) begin end else begin sc2mac_dat_data27_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[28]) == 1'b1) begin sc2mac_dat_data28_d1 <= sc2mac_dat_data28_d0; end else if ((sc2mac_dat_mask_d0[28]) == 1'b0) begin end else begin sc2mac_dat_data28_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[29]) == 1'b1) begin sc2mac_dat_data29_d1 <= sc2mac_dat_data29_d0; end else if ((sc2mac_dat_mask_d0[29]) == 1'b0) begin end else begin sc2mac_dat_data29_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[30]) == 1'b1) begin sc2mac_dat_data30_d1 <= sc2mac_dat_data30_d0; end else if ((sc2mac_dat_mask_d0[30]) == 1'b0) begin end else begin sc2mac_dat_data30_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[31]) == 1'b1) begin sc2mac_dat_data31_d1 <= sc2mac_dat_data31_d0; end else if ((sc2mac_dat_mask_d0[31]) == 1'b0) begin end else begin sc2mac_dat_data31_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[32]) == 1'b1) begin sc2mac_dat_data32_d1 <= sc2mac_dat_data32_d0; end else if ((sc2mac_dat_mask_d0[32]) == 1'b0) begin end else begin sc2mac_dat_data32_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[33]) == 1'b1) begin sc2mac_dat_data33_d1 <= sc2mac_dat_data33_d0; end else if ((sc2mac_dat_mask_d0[33]) == 1'b0) begin end else begin sc2mac_dat_data33_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[34]) == 1'b1) begin sc2mac_dat_data34_d1 <= sc2mac_dat_data34_d0; end else if ((sc2mac_dat_mask_d0[34]) == 1'b0) begin end else begin sc2mac_dat_data34_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[35]) == 1'b1) begin sc2mac_dat_data35_d1 <= sc2mac_dat_data35_d0; end else if ((sc2mac_dat_mask_d0[35]) == 1'b0) begin end else begin sc2mac_dat_data35_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[36]) == 1'b1) begin sc2mac_dat_data36_d1 <= sc2mac_dat_data36_d0; end else if ((sc2mac_dat_mask_d0[36]) == 1'b0) begin end else begin sc2mac_dat_data36_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[37]) == 1'b1) begin sc2mac_dat_data37_d1 <= sc2mac_dat_data37_d0; end else if ((sc2mac_dat_mask_d0[37]) == 1'b0) begin end else begin sc2mac_dat_data37_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[38]) == 1'b1) begin sc2mac_dat_data38_d1 <= sc2mac_dat_data38_d0; end else if ((sc2mac_dat_mask_d0[38]) == 1'b0) begin end else begin sc2mac_dat_data38_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[39]) == 1'b1) begin sc2mac_dat_data39_d1 <= sc2mac_dat_data39_d0; end else if ((sc2mac_dat_mask_d0[39]) == 1'b0) begin end else begin sc2mac_dat_data39_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[40]) == 1'b1) begin sc2mac_dat_data40_d1 <= sc2mac_dat_data40_d0; end else if ((sc2mac_dat_mask_d0[40]) == 1'b0) begin end else begin sc2mac_dat_data40_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[41]) == 1'b1) begin sc2mac_dat_data41_d1 <= sc2mac_dat_data41_d0; end else if ((sc2mac_dat_mask_d0[41]) == 1'b0) begin end else begin sc2mac_dat_data41_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[42]) == 1'b1) begin sc2mac_dat_data42_d1 <= sc2mac_dat_data42_d0; end else if ((sc2mac_dat_mask_d0[42]) == 1'b0) begin end else begin sc2mac_dat_data42_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[43]) == 1'b1) begin sc2mac_dat_data43_d1 <= sc2mac_dat_data43_d0; end else if ((sc2mac_dat_mask_d0[43]) == 1'b0) begin end else begin sc2mac_dat_data43_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[44]) == 1'b1) begin sc2mac_dat_data44_d1 <= sc2mac_dat_data44_d0; end else if ((sc2mac_dat_mask_d0[44]) == 1'b0) begin end else begin sc2mac_dat_data44_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[45]) == 1'b1) begin sc2mac_dat_data45_d1 <= sc2mac_dat_data45_d0; end else if ((sc2mac_dat_mask_d0[45]) == 1'b0) begin end else begin sc2mac_dat_data45_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[46]) == 1'b1) begin sc2mac_dat_data46_d1 <= sc2mac_dat_data46_d0; end else if ((sc2mac_dat_mask_d0[46]) == 1'b0) begin end else begin sc2mac_dat_data46_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[47]) == 1'b1) begin sc2mac_dat_data47_d1 <= sc2mac_dat_data47_d0; end else if ((sc2mac_dat_mask_d0[47]) == 1'b0) begin end else begin sc2mac_dat_data47_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[48]) == 1'b1) begin sc2mac_dat_data48_d1 <= sc2mac_dat_data48_d0; end else if ((sc2mac_dat_mask_d0[48]) == 1'b0) begin end else begin sc2mac_dat_data48_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[49]) == 1'b1) begin sc2mac_dat_data49_d1 <= sc2mac_dat_data49_d0; end else if ((sc2mac_dat_mask_d0[49]) == 1'b0) begin end else begin sc2mac_dat_data49_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[50]) == 1'b1) begin sc2mac_dat_data50_d1 <= sc2mac_dat_data50_d0; end else if ((sc2mac_dat_mask_d0[50]) == 1'b0) begin end else begin sc2mac_dat_data50_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[51]) == 1'b1) begin sc2mac_dat_data51_d1 <= sc2mac_dat_data51_d0; end else if ((sc2mac_dat_mask_d0[51]) == 1'b0) begin end else begin sc2mac_dat_data51_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[52]) == 1'b1) begin sc2mac_dat_data52_d1 <= sc2mac_dat_data52_d0; end else if ((sc2mac_dat_mask_d0[52]) == 1'b0) begin end else begin sc2mac_dat_data52_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[53]) == 1'b1) begin sc2mac_dat_data53_d1 <= sc2mac_dat_data53_d0; end else if ((sc2mac_dat_mask_d0[53]) == 1'b0) begin end else begin sc2mac_dat_data53_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[54]) == 1'b1) begin sc2mac_dat_data54_d1 <= sc2mac_dat_data54_d0; end else if ((sc2mac_dat_mask_d0[54]) == 1'b0) begin end else begin sc2mac_dat_data54_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[55]) == 1'b1) begin sc2mac_dat_data55_d1 <= sc2mac_dat_data55_d0; end else if ((sc2mac_dat_mask_d0[55]) == 1'b0) begin end else begin sc2mac_dat_data55_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[56]) == 1'b1) begin sc2mac_dat_data56_d1 <= sc2mac_dat_data56_d0; end else if ((sc2mac_dat_mask_d0[56]) == 1'b0) begin end else begin sc2mac_dat_data56_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[57]) == 1'b1) begin sc2mac_dat_data57_d1 <= sc2mac_dat_data57_d0; end else if ((sc2mac_dat_mask_d0[57]) == 1'b0) begin end else begin sc2mac_dat_data57_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[58]) == 1'b1) begin sc2mac_dat_data58_d1 <= sc2mac_dat_data58_d0; end else if ((sc2mac_dat_mask_d0[58]) == 1'b0) begin end else begin sc2mac_dat_data58_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[59]) == 1'b1) begin sc2mac_dat_data59_d1 <= sc2mac_dat_data59_d0; end else if ((sc2mac_dat_mask_d0[59]) == 1'b0) begin end else begin sc2mac_dat_data59_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[60]) == 1'b1) begin sc2mac_dat_data60_d1 <= sc2mac_dat_data60_d0; end else if ((sc2mac_dat_mask_d0[60]) == 1'b0) begin end else begin sc2mac_dat_data60_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[61]) == 1'b1) begin sc2mac_dat_data61_d1 <= sc2mac_dat_data61_d0; end else if ((sc2mac_dat_mask_d0[61]) == 1'b0) begin end else begin sc2mac_dat_data61_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[62]) == 1'b1) begin sc2mac_dat_data62_d1 <= sc2mac_dat_data62_d0; end else if ((sc2mac_dat_mask_d0[62]) == 1'b0) begin end else begin sc2mac_dat_data62_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[63]) == 1'b1) begin sc2mac_dat_data63_d1 <= sc2mac_dat_data63_d0; end else if ((sc2mac_dat_mask_d0[63]) == 1'b0) begin end else begin sc2mac_dat_data63_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[64]) == 1'b1) begin sc2mac_dat_data64_d1 <= sc2mac_dat_data64_d0; end else if ((sc2mac_dat_mask_d0[64]) == 1'b0) begin end else begin sc2mac_dat_data64_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[65]) == 1'b1) begin sc2mac_dat_data65_d1 <= sc2mac_dat_data65_d0; end else if ((sc2mac_dat_mask_d0[65]) == 1'b0) begin end else begin sc2mac_dat_data65_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[66]) == 1'b1) begin sc2mac_dat_data66_d1 <= sc2mac_dat_data66_d0; end else if ((sc2mac_dat_mask_d0[66]) == 1'b0) begin end else begin sc2mac_dat_data66_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[67]) == 1'b1) begin sc2mac_dat_data67_d1 <= sc2mac_dat_data67_d0; end else if ((sc2mac_dat_mask_d0[67]) == 1'b0) begin end else begin sc2mac_dat_data67_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[68]) == 1'b1) begin sc2mac_dat_data68_d1 <= sc2mac_dat_data68_d0; end else if ((sc2mac_dat_mask_d0[68]) == 1'b0) begin end else begin sc2mac_dat_data68_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[69]) == 1'b1) begin sc2mac_dat_data69_d1 <= sc2mac_dat_data69_d0; end else if ((sc2mac_dat_mask_d0[69]) == 1'b0) begin end else begin sc2mac_dat_data69_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[70]) == 1'b1) begin sc2mac_dat_data70_d1 <= sc2mac_dat_data70_d0; end else if ((sc2mac_dat_mask_d0[70]) == 1'b0) begin end else begin sc2mac_dat_data70_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[71]) == 1'b1) begin sc2mac_dat_data71_d1 <= sc2mac_dat_data71_d0; end else if ((sc2mac_dat_mask_d0[71]) == 1'b0) begin end else begin sc2mac_dat_data71_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[72]) == 1'b1) begin sc2mac_dat_data72_d1 <= sc2mac_dat_data72_d0; end else if ((sc2mac_dat_mask_d0[72]) == 1'b0) begin end else begin sc2mac_dat_data72_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[73]) == 1'b1) begin sc2mac_dat_data73_d1 <= sc2mac_dat_data73_d0; end else if ((sc2mac_dat_mask_d0[73]) == 1'b0) begin end else begin sc2mac_dat_data73_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[74]) == 1'b1) begin sc2mac_dat_data74_d1 <= sc2mac_dat_data74_d0; end else if ((sc2mac_dat_mask_d0[74]) == 1'b0) begin end else begin sc2mac_dat_data74_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[75]) == 1'b1) begin sc2mac_dat_data75_d1 <= sc2mac_dat_data75_d0; end else if ((sc2mac_dat_mask_d0[75]) == 1'b0) begin end else begin sc2mac_dat_data75_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[76]) == 1'b1) begin sc2mac_dat_data76_d1 <= sc2mac_dat_data76_d0; end else if ((sc2mac_dat_mask_d0[76]) == 1'b0) begin end else begin sc2mac_dat_data76_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[77]) == 1'b1) begin sc2mac_dat_data77_d1 <= sc2mac_dat_data77_d0; end else if ((sc2mac_dat_mask_d0[77]) == 1'b0) begin end else begin sc2mac_dat_data77_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[78]) == 1'b1) begin sc2mac_dat_data78_d1 <= sc2mac_dat_data78_d0; end else if ((sc2mac_dat_mask_d0[78]) == 1'b0) begin end else begin sc2mac_dat_data78_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[79]) == 1'b1) begin sc2mac_dat_data79_d1 <= sc2mac_dat_data79_d0; end else if ((sc2mac_dat_mask_d0[79]) == 1'b0) begin end else begin sc2mac_dat_data79_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[80]) == 1'b1) begin sc2mac_dat_data80_d1 <= sc2mac_dat_data80_d0; end else if ((sc2mac_dat_mask_d0[80]) == 1'b0) begin end else begin sc2mac_dat_data80_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[81]) == 1'b1) begin sc2mac_dat_data81_d1 <= sc2mac_dat_data81_d0; end else if ((sc2mac_dat_mask_d0[81]) == 1'b0) begin end else begin sc2mac_dat_data81_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[82]) == 1'b1) begin sc2mac_dat_data82_d1 <= sc2mac_dat_data82_d0; end else if ((sc2mac_dat_mask_d0[82]) == 1'b0) begin end else begin sc2mac_dat_data82_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[83]) == 1'b1) begin sc2mac_dat_data83_d1 <= sc2mac_dat_data83_d0; end else if ((sc2mac_dat_mask_d0[83]) == 1'b0) begin end else begin sc2mac_dat_data83_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[84]) == 1'b1) begin sc2mac_dat_data84_d1 <= sc2mac_dat_data84_d0; end else if ((sc2mac_dat_mask_d0[84]) == 1'b0) begin end else begin sc2mac_dat_data84_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[85]) == 1'b1) begin sc2mac_dat_data85_d1 <= sc2mac_dat_data85_d0; end else if ((sc2mac_dat_mask_d0[85]) == 1'b0) begin end else begin sc2mac_dat_data85_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[86]) == 1'b1) begin sc2mac_dat_data86_d1 <= sc2mac_dat_data86_d0; end else if ((sc2mac_dat_mask_d0[86]) == 1'b0) begin end else begin sc2mac_dat_data86_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[87]) == 1'b1) begin sc2mac_dat_data87_d1 <= sc2mac_dat_data87_d0; end else if ((sc2mac_dat_mask_d0[87]) == 1'b0) begin end else begin sc2mac_dat_data87_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[88]) == 1'b1) begin sc2mac_dat_data88_d1 <= sc2mac_dat_data88_d0; end else if ((sc2mac_dat_mask_d0[88]) == 1'b0) begin end else begin sc2mac_dat_data88_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[89]) == 1'b1) begin sc2mac_dat_data89_d1 <= sc2mac_dat_data89_d0; end else if ((sc2mac_dat_mask_d0[89]) == 1'b0) begin end else begin sc2mac_dat_data89_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[90]) == 1'b1) begin sc2mac_dat_data90_d1 <= sc2mac_dat_data90_d0; end else if ((sc2mac_dat_mask_d0[90]) == 1'b0) begin end else begin sc2mac_dat_data90_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[91]) == 1'b1) begin sc2mac_dat_data91_d1 <= sc2mac_dat_data91_d0; end else if ((sc2mac_dat_mask_d0[91]) == 1'b0) begin end else begin sc2mac_dat_data91_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[92]) == 1'b1) begin sc2mac_dat_data92_d1 <= sc2mac_dat_data92_d0; end else if ((sc2mac_dat_mask_d0[92]) == 1'b0) begin end else begin sc2mac_dat_data92_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[93]) == 1'b1) begin sc2mac_dat_data93_d1 <= sc2mac_dat_data93_d0; end else if ((sc2mac_dat_mask_d0[93]) == 1'b0) begin end else begin sc2mac_dat_data93_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[94]) == 1'b1) begin sc2mac_dat_data94_d1 <= sc2mac_dat_data94_d0; end else if ((sc2mac_dat_mask_d0[94]) == 1'b0) begin end else begin sc2mac_dat_data94_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[95]) == 1'b1) begin sc2mac_dat_data95_d1 <= sc2mac_dat_data95_d0; end else if ((sc2mac_dat_mask_d0[95]) == 1'b0) begin end else begin sc2mac_dat_data95_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[96]) == 1'b1) begin sc2mac_dat_data96_d1 <= sc2mac_dat_data96_d0; end else if ((sc2mac_dat_mask_d0[96]) == 1'b0) begin end else begin sc2mac_dat_data96_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[97]) == 1'b1) begin sc2mac_dat_data97_d1 <= sc2mac_dat_data97_d0; end else if ((sc2mac_dat_mask_d0[97]) == 1'b0) begin end else begin sc2mac_dat_data97_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[98]) == 1'b1) begin sc2mac_dat_data98_d1 <= sc2mac_dat_data98_d0; end else if ((sc2mac_dat_mask_d0[98]) == 1'b0) begin end else begin sc2mac_dat_data98_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[99]) == 1'b1) begin sc2mac_dat_data99_d1 <= sc2mac_dat_data99_d0; end else if ((sc2mac_dat_mask_d0[99]) == 1'b0) begin end else begin sc2mac_dat_data99_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[100]) == 1'b1) begin sc2mac_dat_data100_d1 <= sc2mac_dat_data100_d0; end else if ((sc2mac_dat_mask_d0[100]) == 1'b0) begin end else begin sc2mac_dat_data100_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[101]) == 1'b1) begin sc2mac_dat_data101_d1 <= sc2mac_dat_data101_d0; end else if ((sc2mac_dat_mask_d0[101]) == 1'b0) begin end else begin sc2mac_dat_data101_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[102]) == 1'b1) begin sc2mac_dat_data102_d1 <= sc2mac_dat_data102_d0; end else if ((sc2mac_dat_mask_d0[102]) == 1'b0) begin end else begin sc2mac_dat_data102_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[103]) == 1'b1) begin sc2mac_dat_data103_d1 <= sc2mac_dat_data103_d0; end else if ((sc2mac_dat_mask_d0[103]) == 1'b0) begin end else begin sc2mac_dat_data103_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[104]) == 1'b1) begin sc2mac_dat_data104_d1 <= sc2mac_dat_data104_d0; end else if ((sc2mac_dat_mask_d0[104]) == 1'b0) begin end else begin sc2mac_dat_data104_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[105]) == 1'b1) begin sc2mac_dat_data105_d1 <= sc2mac_dat_data105_d0; end else if ((sc2mac_dat_mask_d0[105]) == 1'b0) begin end else begin sc2mac_dat_data105_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[106]) == 1'b1) begin sc2mac_dat_data106_d1 <= sc2mac_dat_data106_d0; end else if ((sc2mac_dat_mask_d0[106]) == 1'b0) begin end else begin sc2mac_dat_data106_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[107]) == 1'b1) begin sc2mac_dat_data107_d1 <= sc2mac_dat_data107_d0; end else if ((sc2mac_dat_mask_d0[107]) == 1'b0) begin end else begin sc2mac_dat_data107_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[108]) == 1'b1) begin sc2mac_dat_data108_d1 <= sc2mac_dat_data108_d0; end else if ((sc2mac_dat_mask_d0[108]) == 1'b0) begin end else begin sc2mac_dat_data108_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[109]) == 1'b1) begin sc2mac_dat_data109_d1 <= sc2mac_dat_data109_d0; end else if ((sc2mac_dat_mask_d0[109]) == 1'b0) begin end else begin sc2mac_dat_data109_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[110]) == 1'b1) begin sc2mac_dat_data110_d1 <= sc2mac_dat_data110_d0; end else if ((sc2mac_dat_mask_d0[110]) == 1'b0) begin end else begin sc2mac_dat_data110_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[111]) == 1'b1) begin sc2mac_dat_data111_d1 <= sc2mac_dat_data111_d0; end else if ((sc2mac_dat_mask_d0[111]) == 1'b0) begin end else begin sc2mac_dat_data111_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[112]) == 1'b1) begin sc2mac_dat_data112_d1 <= sc2mac_dat_data112_d0; end else if ((sc2mac_dat_mask_d0[112]) == 1'b0) begin end else begin sc2mac_dat_data112_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[113]) == 1'b1) begin sc2mac_dat_data113_d1 <= sc2mac_dat_data113_d0; end else if ((sc2mac_dat_mask_d0[113]) == 1'b0) begin end else begin sc2mac_dat_data113_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[114]) == 1'b1) begin sc2mac_dat_data114_d1 <= sc2mac_dat_data114_d0; end else if ((sc2mac_dat_mask_d0[114]) == 1'b0) begin end else begin sc2mac_dat_data114_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[115]) == 1'b1) begin sc2mac_dat_data115_d1 <= sc2mac_dat_data115_d0; end else if ((sc2mac_dat_mask_d0[115]) == 1'b0) begin end else begin sc2mac_dat_data115_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[116]) == 1'b1) begin sc2mac_dat_data116_d1 <= sc2mac_dat_data116_d0; end else if ((sc2mac_dat_mask_d0[116]) == 1'b0) begin end else begin sc2mac_dat_data116_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[117]) == 1'b1) begin sc2mac_dat_data117_d1 <= sc2mac_dat_data117_d0; end else if ((sc2mac_dat_mask_d0[117]) == 1'b0) begin end else begin sc2mac_dat_data117_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[118]) == 1'b1) begin sc2mac_dat_data118_d1 <= sc2mac_dat_data118_d0; end else if ((sc2mac_dat_mask_d0[118]) == 1'b0) begin end else begin sc2mac_dat_data118_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[119]) == 1'b1) begin sc2mac_dat_data119_d1 <= sc2mac_dat_data119_d0; end else if ((sc2mac_dat_mask_d0[119]) == 1'b0) begin end else begin sc2mac_dat_data119_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[120]) == 1'b1) begin sc2mac_dat_data120_d1 <= sc2mac_dat_data120_d0; end else if ((sc2mac_dat_mask_d0[120]) == 1'b0) begin end else begin sc2mac_dat_data120_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[121]) == 1'b1) begin sc2mac_dat_data121_d1 <= sc2mac_dat_data121_d0; end else if ((sc2mac_dat_mask_d0[121]) == 1'b0) begin end else begin sc2mac_dat_data121_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[122]) == 1'b1) begin sc2mac_dat_data122_d1 <= sc2mac_dat_data122_d0; end else if ((sc2mac_dat_mask_d0[122]) == 1'b0) begin end else begin sc2mac_dat_data122_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[123]) == 1'b1) begin sc2mac_dat_data123_d1 <= sc2mac_dat_data123_d0; end else if ((sc2mac_dat_mask_d0[123]) == 1'b0) begin end else begin sc2mac_dat_data123_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[124]) == 1'b1) begin sc2mac_dat_data124_d1 <= sc2mac_dat_data124_d0; end else if ((sc2mac_dat_mask_d0[124]) == 1'b0) begin end else begin sc2mac_dat_data124_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[125]) == 1'b1) begin sc2mac_dat_data125_d1 <= sc2mac_dat_data125_d0; end else if ((sc2mac_dat_mask_d0[125]) == 1'b0) begin end else begin sc2mac_dat_data125_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[126]) == 1'b1) begin sc2mac_dat_data126_d1 <= sc2mac_dat_data126_d0; end else if ((sc2mac_dat_mask_d0[126]) == 1'b0) begin end else begin sc2mac_dat_data126_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[127]) == 1'b1) begin sc2mac_dat_data127_d1 <= sc2mac_dat_data127_d0; end else if ((sc2mac_dat_mask_d0[127]) == 1'b0) begin end else begin sc2mac_dat_data127_d1 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_pvld_d2 <= 1'b0; end else begin sc2mac_wt_pvld_d2 <= sc2mac_wt_pvld_d1; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_sel_d2 <= {8{1'b0}}; end else begin if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b1) begin sc2mac_wt_sel_d2 <= sc2mac_wt_sel_d1; end else if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b0) begin end else begin sc2mac_wt_sel_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_5x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_mask_d2 <= {128{1'b0}}; end else begin if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b1) begin sc2mac_wt_mask_d2 <= sc2mac_wt_mask_d1; end else if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b0) begin end else begin sc2mac_wt_mask_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_6x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[0]) == 1'b1) begin sc2mac_wt_data0_d2 <= sc2mac_wt_data0_d1; end else if ((sc2mac_wt_mask_d1[0]) == 1'b0) begin end else begin sc2mac_wt_data0_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[1]) == 1'b1) begin sc2mac_wt_data1_d2 <= sc2mac_wt_data1_d1; end else if ((sc2mac_wt_mask_d1[1]) == 1'b0) begin end else begin sc2mac_wt_data1_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[2]) == 1'b1) begin sc2mac_wt_data2_d2 <= sc2mac_wt_data2_d1; end else if ((sc2mac_wt_mask_d1[2]) == 1'b0) begin end else begin sc2mac_wt_data2_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[3]) == 1'b1) begin sc2mac_wt_data3_d2 <= sc2mac_wt_data3_d1; end else if ((sc2mac_wt_mask_d1[3]) == 1'b0) begin end else begin sc2mac_wt_data3_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[4]) == 1'b1) begin sc2mac_wt_data4_d2 <= sc2mac_wt_data4_d1; end else if ((sc2mac_wt_mask_d1[4]) == 1'b0) begin end else begin sc2mac_wt_data4_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[5]) == 1'b1) begin sc2mac_wt_data5_d2 <= sc2mac_wt_data5_d1; end else if ((sc2mac_wt_mask_d1[5]) == 1'b0) begin end else begin sc2mac_wt_data5_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[6]) == 1'b1) begin sc2mac_wt_data6_d2 <= sc2mac_wt_data6_d1; end else if ((sc2mac_wt_mask_d1[6]) == 1'b0) begin end else begin sc2mac_wt_data6_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[7]) == 1'b1) begin sc2mac_wt_data7_d2 <= sc2mac_wt_data7_d1; end else if ((sc2mac_wt_mask_d1[7]) == 1'b0) begin end else begin sc2mac_wt_data7_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[8]) == 1'b1) begin sc2mac_wt_data8_d2 <= sc2mac_wt_data8_d1; end else if ((sc2mac_wt_mask_d1[8]) == 1'b0) begin end else begin sc2mac_wt_data8_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[9]) == 1'b1) begin sc2mac_wt_data9_d2 <= sc2mac_wt_data9_d1; end else if ((sc2mac_wt_mask_d1[9]) == 1'b0) begin end else begin sc2mac_wt_data9_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[10]) == 1'b1) begin sc2mac_wt_data10_d2 <= sc2mac_wt_data10_d1; end else if ((sc2mac_wt_mask_d1[10]) == 1'b0) begin end else begin sc2mac_wt_data10_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[11]) == 1'b1) begin sc2mac_wt_data11_d2 <= sc2mac_wt_data11_d1; end else if ((sc2mac_wt_mask_d1[11]) == 1'b0) begin end else begin sc2mac_wt_data11_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[12]) == 1'b1) begin sc2mac_wt_data12_d2 <= sc2mac_wt_data12_d1; end else if ((sc2mac_wt_mask_d1[12]) == 1'b0) begin end else begin sc2mac_wt_data12_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[13]) == 1'b1) begin sc2mac_wt_data13_d2 <= sc2mac_wt_data13_d1; end else if ((sc2mac_wt_mask_d1[13]) == 1'b0) begin end else begin sc2mac_wt_data13_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[14]) == 1'b1) begin sc2mac_wt_data14_d2 <= sc2mac_wt_data14_d1; end else if ((sc2mac_wt_mask_d1[14]) == 1'b0) begin end else begin sc2mac_wt_data14_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[15]) == 1'b1) begin sc2mac_wt_data15_d2 <= sc2mac_wt_data15_d1; end else if ((sc2mac_wt_mask_d1[15]) == 1'b0) begin end else begin sc2mac_wt_data15_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[16]) == 1'b1) begin sc2mac_wt_data16_d2 <= sc2mac_wt_data16_d1; end else if ((sc2mac_wt_mask_d1[16]) == 1'b0) begin end else begin sc2mac_wt_data16_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[17]) == 1'b1) begin sc2mac_wt_data17_d2 <= sc2mac_wt_data17_d1; end else if ((sc2mac_wt_mask_d1[17]) == 1'b0) begin end else begin sc2mac_wt_data17_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[18]) == 1'b1) begin sc2mac_wt_data18_d2 <= sc2mac_wt_data18_d1; end else if ((sc2mac_wt_mask_d1[18]) == 1'b0) begin end else begin sc2mac_wt_data18_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[19]) == 1'b1) begin sc2mac_wt_data19_d2 <= sc2mac_wt_data19_d1; end else if ((sc2mac_wt_mask_d1[19]) == 1'b0) begin end else begin sc2mac_wt_data19_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[20]) == 1'b1) begin sc2mac_wt_data20_d2 <= sc2mac_wt_data20_d1; end else if ((sc2mac_wt_mask_d1[20]) == 1'b0) begin end else begin sc2mac_wt_data20_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[21]) == 1'b1) begin sc2mac_wt_data21_d2 <= sc2mac_wt_data21_d1; end else if ((sc2mac_wt_mask_d1[21]) == 1'b0) begin end else begin sc2mac_wt_data21_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[22]) == 1'b1) begin sc2mac_wt_data22_d2 <= sc2mac_wt_data22_d1; end else if ((sc2mac_wt_mask_d1[22]) == 1'b0) begin end else begin sc2mac_wt_data22_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[23]) == 1'b1) begin sc2mac_wt_data23_d2 <= sc2mac_wt_data23_d1; end else if ((sc2mac_wt_mask_d1[23]) == 1'b0) begin end else begin sc2mac_wt_data23_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[24]) == 1'b1) begin sc2mac_wt_data24_d2 <= sc2mac_wt_data24_d1; end else if ((sc2mac_wt_mask_d1[24]) == 1'b0) begin end else begin sc2mac_wt_data24_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[25]) == 1'b1) begin sc2mac_wt_data25_d2 <= sc2mac_wt_data25_d1; end else if ((sc2mac_wt_mask_d1[25]) == 1'b0) begin end else begin sc2mac_wt_data25_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[26]) == 1'b1) begin sc2mac_wt_data26_d2 <= sc2mac_wt_data26_d1; end else if ((sc2mac_wt_mask_d1[26]) == 1'b0) begin end else begin sc2mac_wt_data26_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[27]) == 1'b1) begin sc2mac_wt_data27_d2 <= sc2mac_wt_data27_d1; end else if ((sc2mac_wt_mask_d1[27]) == 1'b0) begin end else begin sc2mac_wt_data27_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[28]) == 1'b1) begin sc2mac_wt_data28_d2 <= sc2mac_wt_data28_d1; end else if ((sc2mac_wt_mask_d1[28]) == 1'b0) begin end else begin sc2mac_wt_data28_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[29]) == 1'b1) begin sc2mac_wt_data29_d2 <= sc2mac_wt_data29_d1; end else if ((sc2mac_wt_mask_d1[29]) == 1'b0) begin end else begin sc2mac_wt_data29_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[30]) == 1'b1) begin sc2mac_wt_data30_d2 <= sc2mac_wt_data30_d1; end else if ((sc2mac_wt_mask_d1[30]) == 1'b0) begin end else begin sc2mac_wt_data30_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[31]) == 1'b1) begin sc2mac_wt_data31_d2 <= sc2mac_wt_data31_d1; end else if ((sc2mac_wt_mask_d1[31]) == 1'b0) begin end else begin sc2mac_wt_data31_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[32]) == 1'b1) begin sc2mac_wt_data32_d2 <= sc2mac_wt_data32_d1; end else if ((sc2mac_wt_mask_d1[32]) == 1'b0) begin end else begin sc2mac_wt_data32_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[33]) == 1'b1) begin sc2mac_wt_data33_d2 <= sc2mac_wt_data33_d1; end else if ((sc2mac_wt_mask_d1[33]) == 1'b0) begin end else begin sc2mac_wt_data33_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[34]) == 1'b1) begin sc2mac_wt_data34_d2 <= sc2mac_wt_data34_d1; end else if ((sc2mac_wt_mask_d1[34]) == 1'b0) begin end else begin sc2mac_wt_data34_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[35]) == 1'b1) begin sc2mac_wt_data35_d2 <= sc2mac_wt_data35_d1; end else if ((sc2mac_wt_mask_d1[35]) == 1'b0) begin end else begin sc2mac_wt_data35_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[36]) == 1'b1) begin sc2mac_wt_data36_d2 <= sc2mac_wt_data36_d1; end else if ((sc2mac_wt_mask_d1[36]) == 1'b0) begin end else begin sc2mac_wt_data36_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[37]) == 1'b1) begin sc2mac_wt_data37_d2 <= sc2mac_wt_data37_d1; end else if ((sc2mac_wt_mask_d1[37]) == 1'b0) begin end else begin sc2mac_wt_data37_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[38]) == 1'b1) begin sc2mac_wt_data38_d2 <= sc2mac_wt_data38_d1; end else if ((sc2mac_wt_mask_d1[38]) == 1'b0) begin end else begin sc2mac_wt_data38_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[39]) == 1'b1) begin sc2mac_wt_data39_d2 <= sc2mac_wt_data39_d1; end else if ((sc2mac_wt_mask_d1[39]) == 1'b0) begin end else begin sc2mac_wt_data39_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[40]) == 1'b1) begin sc2mac_wt_data40_d2 <= sc2mac_wt_data40_d1; end else if ((sc2mac_wt_mask_d1[40]) == 1'b0) begin end else begin sc2mac_wt_data40_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[41]) == 1'b1) begin sc2mac_wt_data41_d2 <= sc2mac_wt_data41_d1; end else if ((sc2mac_wt_mask_d1[41]) == 1'b0) begin end else begin sc2mac_wt_data41_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[42]) == 1'b1) begin sc2mac_wt_data42_d2 <= sc2mac_wt_data42_d1; end else if ((sc2mac_wt_mask_d1[42]) == 1'b0) begin end else begin sc2mac_wt_data42_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[43]) == 1'b1) begin sc2mac_wt_data43_d2 <= sc2mac_wt_data43_d1; end else if ((sc2mac_wt_mask_d1[43]) == 1'b0) begin end else begin sc2mac_wt_data43_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[44]) == 1'b1) begin sc2mac_wt_data44_d2 <= sc2mac_wt_data44_d1; end else if ((sc2mac_wt_mask_d1[44]) == 1'b0) begin end else begin sc2mac_wt_data44_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[45]) == 1'b1) begin sc2mac_wt_data45_d2 <= sc2mac_wt_data45_d1; end else if ((sc2mac_wt_mask_d1[45]) == 1'b0) begin end else begin sc2mac_wt_data45_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[46]) == 1'b1) begin sc2mac_wt_data46_d2 <= sc2mac_wt_data46_d1; end else if ((sc2mac_wt_mask_d1[46]) == 1'b0) begin end else begin sc2mac_wt_data46_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[47]) == 1'b1) begin sc2mac_wt_data47_d2 <= sc2mac_wt_data47_d1; end else if ((sc2mac_wt_mask_d1[47]) == 1'b0) begin end else begin sc2mac_wt_data47_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[48]) == 1'b1) begin sc2mac_wt_data48_d2 <= sc2mac_wt_data48_d1; end else if ((sc2mac_wt_mask_d1[48]) == 1'b0) begin end else begin sc2mac_wt_data48_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[49]) == 1'b1) begin sc2mac_wt_data49_d2 <= sc2mac_wt_data49_d1; end else if ((sc2mac_wt_mask_d1[49]) == 1'b0) begin end else begin sc2mac_wt_data49_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[50]) == 1'b1) begin sc2mac_wt_data50_d2 <= sc2mac_wt_data50_d1; end else if ((sc2mac_wt_mask_d1[50]) == 1'b0) begin end else begin sc2mac_wt_data50_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[51]) == 1'b1) begin sc2mac_wt_data51_d2 <= sc2mac_wt_data51_d1; end else if ((sc2mac_wt_mask_d1[51]) == 1'b0) begin end else begin sc2mac_wt_data51_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[52]) == 1'b1) begin sc2mac_wt_data52_d2 <= sc2mac_wt_data52_d1; end else if ((sc2mac_wt_mask_d1[52]) == 1'b0) begin end else begin sc2mac_wt_data52_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[53]) == 1'b1) begin sc2mac_wt_data53_d2 <= sc2mac_wt_data53_d1; end else if ((sc2mac_wt_mask_d1[53]) == 1'b0) begin end else begin sc2mac_wt_data53_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[54]) == 1'b1) begin sc2mac_wt_data54_d2 <= sc2mac_wt_data54_d1; end else if ((sc2mac_wt_mask_d1[54]) == 1'b0) begin end else begin sc2mac_wt_data54_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[55]) == 1'b1) begin sc2mac_wt_data55_d2 <= sc2mac_wt_data55_d1; end else if ((sc2mac_wt_mask_d1[55]) == 1'b0) begin end else begin sc2mac_wt_data55_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[56]) == 1'b1) begin sc2mac_wt_data56_d2 <= sc2mac_wt_data56_d1; end else if ((sc2mac_wt_mask_d1[56]) == 1'b0) begin end else begin sc2mac_wt_data56_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[57]) == 1'b1) begin sc2mac_wt_data57_d2 <= sc2mac_wt_data57_d1; end else if ((sc2mac_wt_mask_d1[57]) == 1'b0) begin end else begin sc2mac_wt_data57_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[58]) == 1'b1) begin sc2mac_wt_data58_d2 <= sc2mac_wt_data58_d1; end else if ((sc2mac_wt_mask_d1[58]) == 1'b0) begin end else begin sc2mac_wt_data58_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[59]) == 1'b1) begin sc2mac_wt_data59_d2 <= sc2mac_wt_data59_d1; end else if ((sc2mac_wt_mask_d1[59]) == 1'b0) begin end else begin sc2mac_wt_data59_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[60]) == 1'b1) begin sc2mac_wt_data60_d2 <= sc2mac_wt_data60_d1; end else if ((sc2mac_wt_mask_d1[60]) == 1'b0) begin end else begin sc2mac_wt_data60_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[61]) == 1'b1) begin sc2mac_wt_data61_d2 <= sc2mac_wt_data61_d1; end else if ((sc2mac_wt_mask_d1[61]) == 1'b0) begin end else begin sc2mac_wt_data61_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[62]) == 1'b1) begin sc2mac_wt_data62_d2 <= sc2mac_wt_data62_d1; end else if ((sc2mac_wt_mask_d1[62]) == 1'b0) begin end else begin sc2mac_wt_data62_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[63]) == 1'b1) begin sc2mac_wt_data63_d2 <= sc2mac_wt_data63_d1; end else if ((sc2mac_wt_mask_d1[63]) == 1'b0) begin end else begin sc2mac_wt_data63_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[64]) == 1'b1) begin sc2mac_wt_data64_d2 <= sc2mac_wt_data64_d1; end else if ((sc2mac_wt_mask_d1[64]) == 1'b0) begin end else begin sc2mac_wt_data64_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[65]) == 1'b1) begin sc2mac_wt_data65_d2 <= sc2mac_wt_data65_d1; end else if ((sc2mac_wt_mask_d1[65]) == 1'b0) begin end else begin sc2mac_wt_data65_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[66]) == 1'b1) begin sc2mac_wt_data66_d2 <= sc2mac_wt_data66_d1; end else if ((sc2mac_wt_mask_d1[66]) == 1'b0) begin end else begin sc2mac_wt_data66_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[67]) == 1'b1) begin sc2mac_wt_data67_d2 <= sc2mac_wt_data67_d1; end else if ((sc2mac_wt_mask_d1[67]) == 1'b0) begin end else begin sc2mac_wt_data67_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[68]) == 1'b1) begin sc2mac_wt_data68_d2 <= sc2mac_wt_data68_d1; end else if ((sc2mac_wt_mask_d1[68]) == 1'b0) begin end else begin sc2mac_wt_data68_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[69]) == 1'b1) begin sc2mac_wt_data69_d2 <= sc2mac_wt_data69_d1; end else if ((sc2mac_wt_mask_d1[69]) == 1'b0) begin end else begin sc2mac_wt_data69_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[70]) == 1'b1) begin sc2mac_wt_data70_d2 <= sc2mac_wt_data70_d1; end else if ((sc2mac_wt_mask_d1[70]) == 1'b0) begin end else begin sc2mac_wt_data70_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[71]) == 1'b1) begin sc2mac_wt_data71_d2 <= sc2mac_wt_data71_d1; end else if ((sc2mac_wt_mask_d1[71]) == 1'b0) begin end else begin sc2mac_wt_data71_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[72]) == 1'b1) begin sc2mac_wt_data72_d2 <= sc2mac_wt_data72_d1; end else if ((sc2mac_wt_mask_d1[72]) == 1'b0) begin end else begin sc2mac_wt_data72_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[73]) == 1'b1) begin sc2mac_wt_data73_d2 <= sc2mac_wt_data73_d1; end else if ((sc2mac_wt_mask_d1[73]) == 1'b0) begin end else begin sc2mac_wt_data73_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[74]) == 1'b1) begin sc2mac_wt_data74_d2 <= sc2mac_wt_data74_d1; end else if ((sc2mac_wt_mask_d1[74]) == 1'b0) begin end else begin sc2mac_wt_data74_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[75]) == 1'b1) begin sc2mac_wt_data75_d2 <= sc2mac_wt_data75_d1; end else if ((sc2mac_wt_mask_d1[75]) == 1'b0) begin end else begin sc2mac_wt_data75_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[76]) == 1'b1) begin sc2mac_wt_data76_d2 <= sc2mac_wt_data76_d1; end else if ((sc2mac_wt_mask_d1[76]) == 1'b0) begin end else begin sc2mac_wt_data76_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[77]) == 1'b1) begin sc2mac_wt_data77_d2 <= sc2mac_wt_data77_d1; end else if ((sc2mac_wt_mask_d1[77]) == 1'b0) begin end else begin sc2mac_wt_data77_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[78]) == 1'b1) begin sc2mac_wt_data78_d2 <= sc2mac_wt_data78_d1; end else if ((sc2mac_wt_mask_d1[78]) == 1'b0) begin end else begin sc2mac_wt_data78_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[79]) == 1'b1) begin sc2mac_wt_data79_d2 <= sc2mac_wt_data79_d1; end else if ((sc2mac_wt_mask_d1[79]) == 1'b0) begin end else begin sc2mac_wt_data79_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[80]) == 1'b1) begin sc2mac_wt_data80_d2 <= sc2mac_wt_data80_d1; end else if ((sc2mac_wt_mask_d1[80]) == 1'b0) begin end else begin sc2mac_wt_data80_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[81]) == 1'b1) begin sc2mac_wt_data81_d2 <= sc2mac_wt_data81_d1; end else if ((sc2mac_wt_mask_d1[81]) == 1'b0) begin end else begin sc2mac_wt_data81_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[82]) == 1'b1) begin sc2mac_wt_data82_d2 <= sc2mac_wt_data82_d1; end else if ((sc2mac_wt_mask_d1[82]) == 1'b0) begin end else begin sc2mac_wt_data82_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[83]) == 1'b1) begin sc2mac_wt_data83_d2 <= sc2mac_wt_data83_d1; end else if ((sc2mac_wt_mask_d1[83]) == 1'b0) begin end else begin sc2mac_wt_data83_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[84]) == 1'b1) begin sc2mac_wt_data84_d2 <= sc2mac_wt_data84_d1; end else if ((sc2mac_wt_mask_d1[84]) == 1'b0) begin end else begin sc2mac_wt_data84_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[85]) == 1'b1) begin sc2mac_wt_data85_d2 <= sc2mac_wt_data85_d1; end else if ((sc2mac_wt_mask_d1[85]) == 1'b0) begin end else begin sc2mac_wt_data85_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[86]) == 1'b1) begin sc2mac_wt_data86_d2 <= sc2mac_wt_data86_d1; end else if ((sc2mac_wt_mask_d1[86]) == 1'b0) begin end else begin sc2mac_wt_data86_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[87]) == 1'b1) begin sc2mac_wt_data87_d2 <= sc2mac_wt_data87_d1; end else if ((sc2mac_wt_mask_d1[87]) == 1'b0) begin end else begin sc2mac_wt_data87_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[88]) == 1'b1) begin sc2mac_wt_data88_d2 <= sc2mac_wt_data88_d1; end else if ((sc2mac_wt_mask_d1[88]) == 1'b0) begin end else begin sc2mac_wt_data88_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[89]) == 1'b1) begin sc2mac_wt_data89_d2 <= sc2mac_wt_data89_d1; end else if ((sc2mac_wt_mask_d1[89]) == 1'b0) begin end else begin sc2mac_wt_data89_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[90]) == 1'b1) begin sc2mac_wt_data90_d2 <= sc2mac_wt_data90_d1; end else if ((sc2mac_wt_mask_d1[90]) == 1'b0) begin end else begin sc2mac_wt_data90_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[91]) == 1'b1) begin sc2mac_wt_data91_d2 <= sc2mac_wt_data91_d1; end else if ((sc2mac_wt_mask_d1[91]) == 1'b0) begin end else begin sc2mac_wt_data91_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[92]) == 1'b1) begin sc2mac_wt_data92_d2 <= sc2mac_wt_data92_d1; end else if ((sc2mac_wt_mask_d1[92]) == 1'b0) begin end else begin sc2mac_wt_data92_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[93]) == 1'b1) begin sc2mac_wt_data93_d2 <= sc2mac_wt_data93_d1; end else if ((sc2mac_wt_mask_d1[93]) == 1'b0) begin end else begin sc2mac_wt_data93_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[94]) == 1'b1) begin sc2mac_wt_data94_d2 <= sc2mac_wt_data94_d1; end else if ((sc2mac_wt_mask_d1[94]) == 1'b0) begin end else begin sc2mac_wt_data94_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[95]) == 1'b1) begin sc2mac_wt_data95_d2 <= sc2mac_wt_data95_d1; end else if ((sc2mac_wt_mask_d1[95]) == 1'b0) begin end else begin sc2mac_wt_data95_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[96]) == 1'b1) begin sc2mac_wt_data96_d2 <= sc2mac_wt_data96_d1; end else if ((sc2mac_wt_mask_d1[96]) == 1'b0) begin end else begin sc2mac_wt_data96_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[97]) == 1'b1) begin sc2mac_wt_data97_d2 <= sc2mac_wt_data97_d1; end else if ((sc2mac_wt_mask_d1[97]) == 1'b0) begin end else begin sc2mac_wt_data97_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[98]) == 1'b1) begin sc2mac_wt_data98_d2 <= sc2mac_wt_data98_d1; end else if ((sc2mac_wt_mask_d1[98]) == 1'b0) begin end else begin sc2mac_wt_data98_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[99]) == 1'b1) begin sc2mac_wt_data99_d2 <= sc2mac_wt_data99_d1; end else if ((sc2mac_wt_mask_d1[99]) == 1'b0) begin end else begin sc2mac_wt_data99_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[100]) == 1'b1) begin sc2mac_wt_data100_d2 <= sc2mac_wt_data100_d1; end else if ((sc2mac_wt_mask_d1[100]) == 1'b0) begin end else begin sc2mac_wt_data100_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[101]) == 1'b1) begin sc2mac_wt_data101_d2 <= sc2mac_wt_data101_d1; end else if ((sc2mac_wt_mask_d1[101]) == 1'b0) begin end else begin sc2mac_wt_data101_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[102]) == 1'b1) begin sc2mac_wt_data102_d2 <= sc2mac_wt_data102_d1; end else if ((sc2mac_wt_mask_d1[102]) == 1'b0) begin end else begin sc2mac_wt_data102_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[103]) == 1'b1) begin sc2mac_wt_data103_d2 <= sc2mac_wt_data103_d1; end else if ((sc2mac_wt_mask_d1[103]) == 1'b0) begin end else begin sc2mac_wt_data103_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[104]) == 1'b1) begin sc2mac_wt_data104_d2 <= sc2mac_wt_data104_d1; end else if ((sc2mac_wt_mask_d1[104]) == 1'b0) begin end else begin sc2mac_wt_data104_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[105]) == 1'b1) begin sc2mac_wt_data105_d2 <= sc2mac_wt_data105_d1; end else if ((sc2mac_wt_mask_d1[105]) == 1'b0) begin end else begin sc2mac_wt_data105_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[106]) == 1'b1) begin sc2mac_wt_data106_d2 <= sc2mac_wt_data106_d1; end else if ((sc2mac_wt_mask_d1[106]) == 1'b0) begin end else begin sc2mac_wt_data106_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[107]) == 1'b1) begin sc2mac_wt_data107_d2 <= sc2mac_wt_data107_d1; end else if ((sc2mac_wt_mask_d1[107]) == 1'b0) begin end else begin sc2mac_wt_data107_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[108]) == 1'b1) begin sc2mac_wt_data108_d2 <= sc2mac_wt_data108_d1; end else if ((sc2mac_wt_mask_d1[108]) == 1'b0) begin end else begin sc2mac_wt_data108_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[109]) == 1'b1) begin sc2mac_wt_data109_d2 <= sc2mac_wt_data109_d1; end else if ((sc2mac_wt_mask_d1[109]) == 1'b0) begin end else begin sc2mac_wt_data109_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[110]) == 1'b1) begin sc2mac_wt_data110_d2 <= sc2mac_wt_data110_d1; end else if ((sc2mac_wt_mask_d1[110]) == 1'b0) begin end else begin sc2mac_wt_data110_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[111]) == 1'b1) begin sc2mac_wt_data111_d2 <= sc2mac_wt_data111_d1; end else if ((sc2mac_wt_mask_d1[111]) == 1'b0) begin end else begin sc2mac_wt_data111_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[112]) == 1'b1) begin sc2mac_wt_data112_d2 <= sc2mac_wt_data112_d1; end else if ((sc2mac_wt_mask_d1[112]) == 1'b0) begin end else begin sc2mac_wt_data112_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[113]) == 1'b1) begin sc2mac_wt_data113_d2 <= sc2mac_wt_data113_d1; end else if ((sc2mac_wt_mask_d1[113]) == 1'b0) begin end else begin sc2mac_wt_data113_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[114]) == 1'b1) begin sc2mac_wt_data114_d2 <= sc2mac_wt_data114_d1; end else if ((sc2mac_wt_mask_d1[114]) == 1'b0) begin end else begin sc2mac_wt_data114_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[115]) == 1'b1) begin sc2mac_wt_data115_d2 <= sc2mac_wt_data115_d1; end else if ((sc2mac_wt_mask_d1[115]) == 1'b0) begin end else begin sc2mac_wt_data115_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[116]) == 1'b1) begin sc2mac_wt_data116_d2 <= sc2mac_wt_data116_d1; end else if ((sc2mac_wt_mask_d1[116]) == 1'b0) begin end else begin sc2mac_wt_data116_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[117]) == 1'b1) begin sc2mac_wt_data117_d2 <= sc2mac_wt_data117_d1; end else if ((sc2mac_wt_mask_d1[117]) == 1'b0) begin end else begin sc2mac_wt_data117_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[118]) == 1'b1) begin sc2mac_wt_data118_d2 <= sc2mac_wt_data118_d1; end else if ((sc2mac_wt_mask_d1[118]) == 1'b0) begin end else begin sc2mac_wt_data118_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[119]) == 1'b1) begin sc2mac_wt_data119_d2 <= sc2mac_wt_data119_d1; end else if ((sc2mac_wt_mask_d1[119]) == 1'b0) begin end else begin sc2mac_wt_data119_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[120]) == 1'b1) begin sc2mac_wt_data120_d2 <= sc2mac_wt_data120_d1; end else if ((sc2mac_wt_mask_d1[120]) == 1'b0) begin end else begin sc2mac_wt_data120_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[121]) == 1'b1) begin sc2mac_wt_data121_d2 <= sc2mac_wt_data121_d1; end else if ((sc2mac_wt_mask_d1[121]) == 1'b0) begin end else begin sc2mac_wt_data121_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[122]) == 1'b1) begin sc2mac_wt_data122_d2 <= sc2mac_wt_data122_d1; end else if ((sc2mac_wt_mask_d1[122]) == 1'b0) begin end else begin sc2mac_wt_data122_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[123]) == 1'b1) begin sc2mac_wt_data123_d2 <= sc2mac_wt_data123_d1; end else if ((sc2mac_wt_mask_d1[123]) == 1'b0) begin end else begin sc2mac_wt_data123_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[124]) == 1'b1) begin sc2mac_wt_data124_d2 <= sc2mac_wt_data124_d1; end else if ((sc2mac_wt_mask_d1[124]) == 1'b0) begin end else begin sc2mac_wt_data124_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[125]) == 1'b1) begin sc2mac_wt_data125_d2 <= sc2mac_wt_data125_d1; end else if ((sc2mac_wt_mask_d1[125]) == 1'b0) begin end else begin sc2mac_wt_data125_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[126]) == 1'b1) begin sc2mac_wt_data126_d2 <= sc2mac_wt_data126_d1; end else if ((sc2mac_wt_mask_d1[126]) == 1'b0) begin end else begin sc2mac_wt_data126_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[127]) == 1'b1) begin sc2mac_wt_data127_d2 <= sc2mac_wt_data127_d1; end else if ((sc2mac_wt_mask_d1[127]) == 1'b0) begin end else begin sc2mac_wt_data127_d2 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pvld_d2 <= 1'b0; end else begin sc2mac_dat_pvld_d2 <= sc2mac_dat_pvld_d1; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pd_d2 <= {9{1'b0}}; end else begin if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b1) begin sc2mac_dat_pd_d2 <= sc2mac_dat_pd_d1; end else if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b0) begin end else begin sc2mac_dat_pd_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_7x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_mask_d2 <= {128{1'b0}}; end else begin if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b1) begin sc2mac_dat_mask_d2 <= sc2mac_dat_mask_d1; end else if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b0) begin end else begin sc2mac_dat_mask_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_8x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[0]) == 1'b1) begin sc2mac_dat_data0_d2 <= sc2mac_dat_data0_d1; end else if ((sc2mac_dat_mask_d1[0]) == 1'b0) begin end else begin sc2mac_dat_data0_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[1]) == 1'b1) begin sc2mac_dat_data1_d2 <= sc2mac_dat_data1_d1; end else if ((sc2mac_dat_mask_d1[1]) == 1'b0) begin end else begin sc2mac_dat_data1_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[2]) == 1'b1) begin sc2mac_dat_data2_d2 <= sc2mac_dat_data2_d1; end else if ((sc2mac_dat_mask_d1[2]) == 1'b0) begin end else begin sc2mac_dat_data2_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[3]) == 1'b1) begin sc2mac_dat_data3_d2 <= sc2mac_dat_data3_d1; end else if ((sc2mac_dat_mask_d1[3]) == 1'b0) begin end else begin sc2mac_dat_data3_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[4]) == 1'b1) begin sc2mac_dat_data4_d2 <= sc2mac_dat_data4_d1; end else if ((sc2mac_dat_mask_d1[4]) == 1'b0) begin end else begin sc2mac_dat_data4_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[5]) == 1'b1) begin sc2mac_dat_data5_d2 <= sc2mac_dat_data5_d1; end else if ((sc2mac_dat_mask_d1[5]) == 1'b0) begin end else begin sc2mac_dat_data5_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[6]) == 1'b1) begin sc2mac_dat_data6_d2 <= sc2mac_dat_data6_d1; end else if ((sc2mac_dat_mask_d1[6]) == 1'b0) begin end else begin sc2mac_dat_data6_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[7]) == 1'b1) begin sc2mac_dat_data7_d2 <= sc2mac_dat_data7_d1; end else if ((sc2mac_dat_mask_d1[7]) == 1'b0) begin end else begin sc2mac_dat_data7_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[8]) == 1'b1) begin sc2mac_dat_data8_d2 <= sc2mac_dat_data8_d1; end else if ((sc2mac_dat_mask_d1[8]) == 1'b0) begin end else begin sc2mac_dat_data8_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[9]) == 1'b1) begin sc2mac_dat_data9_d2 <= sc2mac_dat_data9_d1; end else if ((sc2mac_dat_mask_d1[9]) == 1'b0) begin end else begin sc2mac_dat_data9_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[10]) == 1'b1) begin sc2mac_dat_data10_d2 <= sc2mac_dat_data10_d1; end else if ((sc2mac_dat_mask_d1[10]) == 1'b0) begin end else begin sc2mac_dat_data10_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[11]) == 1'b1) begin sc2mac_dat_data11_d2 <= sc2mac_dat_data11_d1; end else if ((sc2mac_dat_mask_d1[11]) == 1'b0) begin end else begin sc2mac_dat_data11_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[12]) == 1'b1) begin sc2mac_dat_data12_d2 <= sc2mac_dat_data12_d1; end else if ((sc2mac_dat_mask_d1[12]) == 1'b0) begin end else begin sc2mac_dat_data12_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[13]) == 1'b1) begin sc2mac_dat_data13_d2 <= sc2mac_dat_data13_d1; end else if ((sc2mac_dat_mask_d1[13]) == 1'b0) begin end else begin sc2mac_dat_data13_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[14]) == 1'b1) begin sc2mac_dat_data14_d2 <= sc2mac_dat_data14_d1; end else if ((sc2mac_dat_mask_d1[14]) == 1'b0) begin end else begin sc2mac_dat_data14_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[15]) == 1'b1) begin sc2mac_dat_data15_d2 <= sc2mac_dat_data15_d1; end else if ((sc2mac_dat_mask_d1[15]) == 1'b0) begin end else begin sc2mac_dat_data15_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[16]) == 1'b1) begin sc2mac_dat_data16_d2 <= sc2mac_dat_data16_d1; end else if ((sc2mac_dat_mask_d1[16]) == 1'b0) begin end else begin sc2mac_dat_data16_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[17]) == 1'b1) begin sc2mac_dat_data17_d2 <= sc2mac_dat_data17_d1; end else if ((sc2mac_dat_mask_d1[17]) == 1'b0) begin end else begin sc2mac_dat_data17_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[18]) == 1'b1) begin sc2mac_dat_data18_d2 <= sc2mac_dat_data18_d1; end else if ((sc2mac_dat_mask_d1[18]) == 1'b0) begin end else begin sc2mac_dat_data18_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[19]) == 1'b1) begin sc2mac_dat_data19_d2 <= sc2mac_dat_data19_d1; end else if ((sc2mac_dat_mask_d1[19]) == 1'b0) begin end else begin sc2mac_dat_data19_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[20]) == 1'b1) begin sc2mac_dat_data20_d2 <= sc2mac_dat_data20_d1; end else if ((sc2mac_dat_mask_d1[20]) == 1'b0) begin end else begin sc2mac_dat_data20_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[21]) == 1'b1) begin sc2mac_dat_data21_d2 <= sc2mac_dat_data21_d1; end else if ((sc2mac_dat_mask_d1[21]) == 1'b0) begin end else begin sc2mac_dat_data21_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[22]) == 1'b1) begin sc2mac_dat_data22_d2 <= sc2mac_dat_data22_d1; end else if ((sc2mac_dat_mask_d1[22]) == 1'b0) begin end else begin sc2mac_dat_data22_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[23]) == 1'b1) begin sc2mac_dat_data23_d2 <= sc2mac_dat_data23_d1; end else if ((sc2mac_dat_mask_d1[23]) == 1'b0) begin end else begin sc2mac_dat_data23_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[24]) == 1'b1) begin sc2mac_dat_data24_d2 <= sc2mac_dat_data24_d1; end else if ((sc2mac_dat_mask_d1[24]) == 1'b0) begin end else begin sc2mac_dat_data24_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[25]) == 1'b1) begin sc2mac_dat_data25_d2 <= sc2mac_dat_data25_d1; end else if ((sc2mac_dat_mask_d1[25]) == 1'b0) begin end else begin sc2mac_dat_data25_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[26]) == 1'b1) begin sc2mac_dat_data26_d2 <= sc2mac_dat_data26_d1; end else if ((sc2mac_dat_mask_d1[26]) == 1'b0) begin end else begin sc2mac_dat_data26_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[27]) == 1'b1) begin sc2mac_dat_data27_d2 <= sc2mac_dat_data27_d1; end else if ((sc2mac_dat_mask_d1[27]) == 1'b0) begin end else begin sc2mac_dat_data27_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[28]) == 1'b1) begin sc2mac_dat_data28_d2 <= sc2mac_dat_data28_d1; end else if ((sc2mac_dat_mask_d1[28]) == 1'b0) begin end else begin sc2mac_dat_data28_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[29]) == 1'b1) begin sc2mac_dat_data29_d2 <= sc2mac_dat_data29_d1; end else if ((sc2mac_dat_mask_d1[29]) == 1'b0) begin end else begin sc2mac_dat_data29_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[30]) == 1'b1) begin sc2mac_dat_data30_d2 <= sc2mac_dat_data30_d1; end else if ((sc2mac_dat_mask_d1[30]) == 1'b0) begin end else begin sc2mac_dat_data30_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[31]) == 1'b1) begin sc2mac_dat_data31_d2 <= sc2mac_dat_data31_d1; end else if ((sc2mac_dat_mask_d1[31]) == 1'b0) begin end else begin sc2mac_dat_data31_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[32]) == 1'b1) begin sc2mac_dat_data32_d2 <= sc2mac_dat_data32_d1; end else if ((sc2mac_dat_mask_d1[32]) == 1'b0) begin end else begin sc2mac_dat_data32_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[33]) == 1'b1) begin sc2mac_dat_data33_d2 <= sc2mac_dat_data33_d1; end else if ((sc2mac_dat_mask_d1[33]) == 1'b0) begin end else begin sc2mac_dat_data33_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[34]) == 1'b1) begin sc2mac_dat_data34_d2 <= sc2mac_dat_data34_d1; end else if ((sc2mac_dat_mask_d1[34]) == 1'b0) begin end else begin sc2mac_dat_data34_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[35]) == 1'b1) begin sc2mac_dat_data35_d2 <= sc2mac_dat_data35_d1; end else if ((sc2mac_dat_mask_d1[35]) == 1'b0) begin end else begin sc2mac_dat_data35_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[36]) == 1'b1) begin sc2mac_dat_data36_d2 <= sc2mac_dat_data36_d1; end else if ((sc2mac_dat_mask_d1[36]) == 1'b0) begin end else begin sc2mac_dat_data36_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[37]) == 1'b1) begin sc2mac_dat_data37_d2 <= sc2mac_dat_data37_d1; end else if ((sc2mac_dat_mask_d1[37]) == 1'b0) begin end else begin sc2mac_dat_data37_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[38]) == 1'b1) begin sc2mac_dat_data38_d2 <= sc2mac_dat_data38_d1; end else if ((sc2mac_dat_mask_d1[38]) == 1'b0) begin end else begin sc2mac_dat_data38_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[39]) == 1'b1) begin sc2mac_dat_data39_d2 <= sc2mac_dat_data39_d1; end else if ((sc2mac_dat_mask_d1[39]) == 1'b0) begin end else begin sc2mac_dat_data39_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[40]) == 1'b1) begin sc2mac_dat_data40_d2 <= sc2mac_dat_data40_d1; end else if ((sc2mac_dat_mask_d1[40]) == 1'b0) begin end else begin sc2mac_dat_data40_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[41]) == 1'b1) begin sc2mac_dat_data41_d2 <= sc2mac_dat_data41_d1; end else if ((sc2mac_dat_mask_d1[41]) == 1'b0) begin end else begin sc2mac_dat_data41_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[42]) == 1'b1) begin sc2mac_dat_data42_d2 <= sc2mac_dat_data42_d1; end else if ((sc2mac_dat_mask_d1[42]) == 1'b0) begin end else begin sc2mac_dat_data42_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[43]) == 1'b1) begin sc2mac_dat_data43_d2 <= sc2mac_dat_data43_d1; end else if ((sc2mac_dat_mask_d1[43]) == 1'b0) begin end else begin sc2mac_dat_data43_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[44]) == 1'b1) begin sc2mac_dat_data44_d2 <= sc2mac_dat_data44_d1; end else if ((sc2mac_dat_mask_d1[44]) == 1'b0) begin end else begin sc2mac_dat_data44_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[45]) == 1'b1) begin sc2mac_dat_data45_d2 <= sc2mac_dat_data45_d1; end else if ((sc2mac_dat_mask_d1[45]) == 1'b0) begin end else begin sc2mac_dat_data45_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[46]) == 1'b1) begin sc2mac_dat_data46_d2 <= sc2mac_dat_data46_d1; end else if ((sc2mac_dat_mask_d1[46]) == 1'b0) begin end else begin sc2mac_dat_data46_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[47]) == 1'b1) begin sc2mac_dat_data47_d2 <= sc2mac_dat_data47_d1; end else if ((sc2mac_dat_mask_d1[47]) == 1'b0) begin end else begin sc2mac_dat_data47_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[48]) == 1'b1) begin sc2mac_dat_data48_d2 <= sc2mac_dat_data48_d1; end else if ((sc2mac_dat_mask_d1[48]) == 1'b0) begin end else begin sc2mac_dat_data48_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[49]) == 1'b1) begin sc2mac_dat_data49_d2 <= sc2mac_dat_data49_d1; end else if ((sc2mac_dat_mask_d1[49]) == 1'b0) begin end else begin sc2mac_dat_data49_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[50]) == 1'b1) begin sc2mac_dat_data50_d2 <= sc2mac_dat_data50_d1; end else if ((sc2mac_dat_mask_d1[50]) == 1'b0) begin end else begin sc2mac_dat_data50_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[51]) == 1'b1) begin sc2mac_dat_data51_d2 <= sc2mac_dat_data51_d1; end else if ((sc2mac_dat_mask_d1[51]) == 1'b0) begin end else begin sc2mac_dat_data51_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[52]) == 1'b1) begin sc2mac_dat_data52_d2 <= sc2mac_dat_data52_d1; end else if ((sc2mac_dat_mask_d1[52]) == 1'b0) begin end else begin sc2mac_dat_data52_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[53]) == 1'b1) begin sc2mac_dat_data53_d2 <= sc2mac_dat_data53_d1; end else if ((sc2mac_dat_mask_d1[53]) == 1'b0) begin end else begin sc2mac_dat_data53_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[54]) == 1'b1) begin sc2mac_dat_data54_d2 <= sc2mac_dat_data54_d1; end else if ((sc2mac_dat_mask_d1[54]) == 1'b0) begin end else begin sc2mac_dat_data54_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[55]) == 1'b1) begin sc2mac_dat_data55_d2 <= sc2mac_dat_data55_d1; end else if ((sc2mac_dat_mask_d1[55]) == 1'b0) begin end else begin sc2mac_dat_data55_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[56]) == 1'b1) begin sc2mac_dat_data56_d2 <= sc2mac_dat_data56_d1; end else if ((sc2mac_dat_mask_d1[56]) == 1'b0) begin end else begin sc2mac_dat_data56_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[57]) == 1'b1) begin sc2mac_dat_data57_d2 <= sc2mac_dat_data57_d1; end else if ((sc2mac_dat_mask_d1[57]) == 1'b0) begin end else begin sc2mac_dat_data57_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[58]) == 1'b1) begin sc2mac_dat_data58_d2 <= sc2mac_dat_data58_d1; end else if ((sc2mac_dat_mask_d1[58]) == 1'b0) begin end else begin sc2mac_dat_data58_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[59]) == 1'b1) begin sc2mac_dat_data59_d2 <= sc2mac_dat_data59_d1; end else if ((sc2mac_dat_mask_d1[59]) == 1'b0) begin end else begin sc2mac_dat_data59_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[60]) == 1'b1) begin sc2mac_dat_data60_d2 <= sc2mac_dat_data60_d1; end else if ((sc2mac_dat_mask_d1[60]) == 1'b0) begin end else begin sc2mac_dat_data60_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[61]) == 1'b1) begin sc2mac_dat_data61_d2 <= sc2mac_dat_data61_d1; end else if ((sc2mac_dat_mask_d1[61]) == 1'b0) begin end else begin sc2mac_dat_data61_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[62]) == 1'b1) begin sc2mac_dat_data62_d2 <= sc2mac_dat_data62_d1; end else if ((sc2mac_dat_mask_d1[62]) == 1'b0) begin end else begin sc2mac_dat_data62_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[63]) == 1'b1) begin sc2mac_dat_data63_d2 <= sc2mac_dat_data63_d1; end else if ((sc2mac_dat_mask_d1[63]) == 1'b0) begin end else begin sc2mac_dat_data63_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[64]) == 1'b1) begin sc2mac_dat_data64_d2 <= sc2mac_dat_data64_d1; end else if ((sc2mac_dat_mask_d1[64]) == 1'b0) begin end else begin sc2mac_dat_data64_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[65]) == 1'b1) begin sc2mac_dat_data65_d2 <= sc2mac_dat_data65_d1; end else if ((sc2mac_dat_mask_d1[65]) == 1'b0) begin end else begin sc2mac_dat_data65_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[66]) == 1'b1) begin sc2mac_dat_data66_d2 <= sc2mac_dat_data66_d1; end else if ((sc2mac_dat_mask_d1[66]) == 1'b0) begin end else begin sc2mac_dat_data66_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[67]) == 1'b1) begin sc2mac_dat_data67_d2 <= sc2mac_dat_data67_d1; end else if ((sc2mac_dat_mask_d1[67]) == 1'b0) begin end else begin sc2mac_dat_data67_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[68]) == 1'b1) begin sc2mac_dat_data68_d2 <= sc2mac_dat_data68_d1; end else if ((sc2mac_dat_mask_d1[68]) == 1'b0) begin end else begin sc2mac_dat_data68_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[69]) == 1'b1) begin sc2mac_dat_data69_d2 <= sc2mac_dat_data69_d1; end else if ((sc2mac_dat_mask_d1[69]) == 1'b0) begin end else begin sc2mac_dat_data69_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[70]) == 1'b1) begin sc2mac_dat_data70_d2 <= sc2mac_dat_data70_d1; end else if ((sc2mac_dat_mask_d1[70]) == 1'b0) begin end else begin sc2mac_dat_data70_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[71]) == 1'b1) begin sc2mac_dat_data71_d2 <= sc2mac_dat_data71_d1; end else if ((sc2mac_dat_mask_d1[71]) == 1'b0) begin end else begin sc2mac_dat_data71_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[72]) == 1'b1) begin sc2mac_dat_data72_d2 <= sc2mac_dat_data72_d1; end else if ((sc2mac_dat_mask_d1[72]) == 1'b0) begin end else begin sc2mac_dat_data72_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[73]) == 1'b1) begin sc2mac_dat_data73_d2 <= sc2mac_dat_data73_d1; end else if ((sc2mac_dat_mask_d1[73]) == 1'b0) begin end else begin sc2mac_dat_data73_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[74]) == 1'b1) begin sc2mac_dat_data74_d2 <= sc2mac_dat_data74_d1; end else if ((sc2mac_dat_mask_d1[74]) == 1'b0) begin end else begin sc2mac_dat_data74_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[75]) == 1'b1) begin sc2mac_dat_data75_d2 <= sc2mac_dat_data75_d1; end else if ((sc2mac_dat_mask_d1[75]) == 1'b0) begin end else begin sc2mac_dat_data75_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[76]) == 1'b1) begin sc2mac_dat_data76_d2 <= sc2mac_dat_data76_d1; end else if ((sc2mac_dat_mask_d1[76]) == 1'b0) begin end else begin sc2mac_dat_data76_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[77]) == 1'b1) begin sc2mac_dat_data77_d2 <= sc2mac_dat_data77_d1; end else if ((sc2mac_dat_mask_d1[77]) == 1'b0) begin end else begin sc2mac_dat_data77_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[78]) == 1'b1) begin sc2mac_dat_data78_d2 <= sc2mac_dat_data78_d1; end else if ((sc2mac_dat_mask_d1[78]) == 1'b0) begin end else begin sc2mac_dat_data78_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[79]) == 1'b1) begin sc2mac_dat_data79_d2 <= sc2mac_dat_data79_d1; end else if ((sc2mac_dat_mask_d1[79]) == 1'b0) begin end else begin sc2mac_dat_data79_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[80]) == 1'b1) begin sc2mac_dat_data80_d2 <= sc2mac_dat_data80_d1; end else if ((sc2mac_dat_mask_d1[80]) == 1'b0) begin end else begin sc2mac_dat_data80_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[81]) == 1'b1) begin sc2mac_dat_data81_d2 <= sc2mac_dat_data81_d1; end else if ((sc2mac_dat_mask_d1[81]) == 1'b0) begin end else begin sc2mac_dat_data81_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[82]) == 1'b1) begin sc2mac_dat_data82_d2 <= sc2mac_dat_data82_d1; end else if ((sc2mac_dat_mask_d1[82]) == 1'b0) begin end else begin sc2mac_dat_data82_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[83]) == 1'b1) begin sc2mac_dat_data83_d2 <= sc2mac_dat_data83_d1; end else if ((sc2mac_dat_mask_d1[83]) == 1'b0) begin end else begin sc2mac_dat_data83_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[84]) == 1'b1) begin sc2mac_dat_data84_d2 <= sc2mac_dat_data84_d1; end else if ((sc2mac_dat_mask_d1[84]) == 1'b0) begin end else begin sc2mac_dat_data84_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[85]) == 1'b1) begin sc2mac_dat_data85_d2 <= sc2mac_dat_data85_d1; end else if ((sc2mac_dat_mask_d1[85]) == 1'b0) begin end else begin sc2mac_dat_data85_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[86]) == 1'b1) begin sc2mac_dat_data86_d2 <= sc2mac_dat_data86_d1; end else if ((sc2mac_dat_mask_d1[86]) == 1'b0) begin end else begin sc2mac_dat_data86_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[87]) == 1'b1) begin sc2mac_dat_data87_d2 <= sc2mac_dat_data87_d1; end else if ((sc2mac_dat_mask_d1[87]) == 1'b0) begin end else begin sc2mac_dat_data87_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[88]) == 1'b1) begin sc2mac_dat_data88_d2 <= sc2mac_dat_data88_d1; end else if ((sc2mac_dat_mask_d1[88]) == 1'b0) begin end else begin sc2mac_dat_data88_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[89]) == 1'b1) begin sc2mac_dat_data89_d2 <= sc2mac_dat_data89_d1; end else if ((sc2mac_dat_mask_d1[89]) == 1'b0) begin end else begin sc2mac_dat_data89_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[90]) == 1'b1) begin sc2mac_dat_data90_d2 <= sc2mac_dat_data90_d1; end else if ((sc2mac_dat_mask_d1[90]) == 1'b0) begin end else begin sc2mac_dat_data90_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[91]) == 1'b1) begin sc2mac_dat_data91_d2 <= sc2mac_dat_data91_d1; end else if ((sc2mac_dat_mask_d1[91]) == 1'b0) begin end else begin sc2mac_dat_data91_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[92]) == 1'b1) begin sc2mac_dat_data92_d2 <= sc2mac_dat_data92_d1; end else if ((sc2mac_dat_mask_d1[92]) == 1'b0) begin end else begin sc2mac_dat_data92_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[93]) == 1'b1) begin sc2mac_dat_data93_d2 <= sc2mac_dat_data93_d1; end else if ((sc2mac_dat_mask_d1[93]) == 1'b0) begin end else begin sc2mac_dat_data93_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[94]) == 1'b1) begin sc2mac_dat_data94_d2 <= sc2mac_dat_data94_d1; end else if ((sc2mac_dat_mask_d1[94]) == 1'b0) begin end else begin sc2mac_dat_data94_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[95]) == 1'b1) begin sc2mac_dat_data95_d2 <= sc2mac_dat_data95_d1; end else if ((sc2mac_dat_mask_d1[95]) == 1'b0) begin end else begin sc2mac_dat_data95_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[96]) == 1'b1) begin sc2mac_dat_data96_d2 <= sc2mac_dat_data96_d1; end else if ((sc2mac_dat_mask_d1[96]) == 1'b0) begin end else begin sc2mac_dat_data96_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[97]) == 1'b1) begin sc2mac_dat_data97_d2 <= sc2mac_dat_data97_d1; end else if ((sc2mac_dat_mask_d1[97]) == 1'b0) begin end else begin sc2mac_dat_data97_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[98]) == 1'b1) begin sc2mac_dat_data98_d2 <= sc2mac_dat_data98_d1; end else if ((sc2mac_dat_mask_d1[98]) == 1'b0) begin end else begin sc2mac_dat_data98_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[99]) == 1'b1) begin sc2mac_dat_data99_d2 <= sc2mac_dat_data99_d1; end else if ((sc2mac_dat_mask_d1[99]) == 1'b0) begin end else begin sc2mac_dat_data99_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[100]) == 1'b1) begin sc2mac_dat_data100_d2 <= sc2mac_dat_data100_d1; end else if ((sc2mac_dat_mask_d1[100]) == 1'b0) begin end else begin sc2mac_dat_data100_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[101]) == 1'b1) begin sc2mac_dat_data101_d2 <= sc2mac_dat_data101_d1; end else if ((sc2mac_dat_mask_d1[101]) == 1'b0) begin end else begin sc2mac_dat_data101_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[102]) == 1'b1) begin sc2mac_dat_data102_d2 <= sc2mac_dat_data102_d1; end else if ((sc2mac_dat_mask_d1[102]) == 1'b0) begin end else begin sc2mac_dat_data102_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[103]) == 1'b1) begin sc2mac_dat_data103_d2 <= sc2mac_dat_data103_d1; end else if ((sc2mac_dat_mask_d1[103]) == 1'b0) begin end else begin sc2mac_dat_data103_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[104]) == 1'b1) begin sc2mac_dat_data104_d2 <= sc2mac_dat_data104_d1; end else if ((sc2mac_dat_mask_d1[104]) == 1'b0) begin end else begin sc2mac_dat_data104_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[105]) == 1'b1) begin sc2mac_dat_data105_d2 <= sc2mac_dat_data105_d1; end else if ((sc2mac_dat_mask_d1[105]) == 1'b0) begin end else begin sc2mac_dat_data105_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[106]) == 1'b1) begin sc2mac_dat_data106_d2 <= sc2mac_dat_data106_d1; end else if ((sc2mac_dat_mask_d1[106]) == 1'b0) begin end else begin sc2mac_dat_data106_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[107]) == 1'b1) begin sc2mac_dat_data107_d2 <= sc2mac_dat_data107_d1; end else if ((sc2mac_dat_mask_d1[107]) == 1'b0) begin end else begin sc2mac_dat_data107_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[108]) == 1'b1) begin sc2mac_dat_data108_d2 <= sc2mac_dat_data108_d1; end else if ((sc2mac_dat_mask_d1[108]) == 1'b0) begin end else begin sc2mac_dat_data108_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[109]) == 1'b1) begin sc2mac_dat_data109_d2 <= sc2mac_dat_data109_d1; end else if ((sc2mac_dat_mask_d1[109]) == 1'b0) begin end else begin sc2mac_dat_data109_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[110]) == 1'b1) begin sc2mac_dat_data110_d2 <= sc2mac_dat_data110_d1; end else if ((sc2mac_dat_mask_d1[110]) == 1'b0) begin end else begin sc2mac_dat_data110_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[111]) == 1'b1) begin sc2mac_dat_data111_d2 <= sc2mac_dat_data111_d1; end else if ((sc2mac_dat_mask_d1[111]) == 1'b0) begin end else begin sc2mac_dat_data111_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[112]) == 1'b1) begin sc2mac_dat_data112_d2 <= sc2mac_dat_data112_d1; end else if ((sc2mac_dat_mask_d1[112]) == 1'b0) begin end else begin sc2mac_dat_data112_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[113]) == 1'b1) begin sc2mac_dat_data113_d2 <= sc2mac_dat_data113_d1; end else if ((sc2mac_dat_mask_d1[113]) == 1'b0) begin end else begin sc2mac_dat_data113_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[114]) == 1'b1) begin sc2mac_dat_data114_d2 <= sc2mac_dat_data114_d1; end else if ((sc2mac_dat_mask_d1[114]) == 1'b0) begin end else begin sc2mac_dat_data114_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[115]) == 1'b1) begin sc2mac_dat_data115_d2 <= sc2mac_dat_data115_d1; end else if ((sc2mac_dat_mask_d1[115]) == 1'b0) begin end else begin sc2mac_dat_data115_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[116]) == 1'b1) begin sc2mac_dat_data116_d2 <= sc2mac_dat_data116_d1; end else if ((sc2mac_dat_mask_d1[116]) == 1'b0) begin end else begin sc2mac_dat_data116_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[117]) == 1'b1) begin sc2mac_dat_data117_d2 <= sc2mac_dat_data117_d1; end else if ((sc2mac_dat_mask_d1[117]) == 1'b0) begin end else begin sc2mac_dat_data117_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[118]) == 1'b1) begin sc2mac_dat_data118_d2 <= sc2mac_dat_data118_d1; end else if ((sc2mac_dat_mask_d1[118]) == 1'b0) begin end else begin sc2mac_dat_data118_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[119]) == 1'b1) begin sc2mac_dat_data119_d2 <= sc2mac_dat_data119_d1; end else if ((sc2mac_dat_mask_d1[119]) == 1'b0) begin end else begin sc2mac_dat_data119_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[120]) == 1'b1) begin sc2mac_dat_data120_d2 <= sc2mac_dat_data120_d1; end else if ((sc2mac_dat_mask_d1[120]) == 1'b0) begin end else begin sc2mac_dat_data120_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[121]) == 1'b1) begin sc2mac_dat_data121_d2 <= sc2mac_dat_data121_d1; end else if ((sc2mac_dat_mask_d1[121]) == 1'b0) begin end else begin sc2mac_dat_data121_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[122]) == 1'b1) begin sc2mac_dat_data122_d2 <= sc2mac_dat_data122_d1; end else if ((sc2mac_dat_mask_d1[122]) == 1'b0) begin end else begin sc2mac_dat_data122_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[123]) == 1'b1) begin sc2mac_dat_data123_d2 <= sc2mac_dat_data123_d1; end else if ((sc2mac_dat_mask_d1[123]) == 1'b0) begin end else begin sc2mac_dat_data123_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[124]) == 1'b1) begin sc2mac_dat_data124_d2 <= sc2mac_dat_data124_d1; end else if ((sc2mac_dat_mask_d1[124]) == 1'b0) begin end else begin sc2mac_dat_data124_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[125]) == 1'b1) begin sc2mac_dat_data125_d2 <= sc2mac_dat_data125_d1; end else if ((sc2mac_dat_mask_d1[125]) == 1'b0) begin end else begin sc2mac_dat_data125_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[126]) == 1'b1) begin sc2mac_dat_data126_d2 <= sc2mac_dat_data126_d1; end else if ((sc2mac_dat_mask_d1[126]) == 1'b0) begin end else begin sc2mac_dat_data126_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[127]) == 1'b1) begin sc2mac_dat_data127_d2 <= sc2mac_dat_data127_d1; end else if ((sc2mac_dat_mask_d1[127]) == 1'b0) begin end else begin sc2mac_dat_data127_d2 <= 'bx; end end assign sc2mac_wt_dst_pvld = sc2mac_wt_pvld_d2; assign sc2mac_wt_dst_sel = sc2mac_wt_sel_d2; assign sc2mac_wt_dst_mask = sc2mac_wt_mask_d2; assign sc2mac_wt_dst_data0 = sc2mac_wt_data0_d2; assign sc2mac_wt_dst_data1 = sc2mac_wt_data1_d2; assign sc2mac_wt_dst_data2 = sc2mac_wt_data2_d2; assign sc2mac_wt_dst_data3 = sc2mac_wt_data3_d2; assign sc2mac_wt_dst_data4 = sc2mac_wt_data4_d2; assign sc2mac_wt_dst_data5 = sc2mac_wt_data5_d2; assign sc2mac_wt_dst_data6 = sc2mac_wt_data6_d2; assign sc2mac_wt_dst_data7 = sc2mac_wt_data7_d2; assign sc2mac_wt_dst_data8 = sc2mac_wt_data8_d2; assign sc2mac_wt_dst_data9 = sc2mac_wt_data9_d2; assign sc2mac_wt_dst_data10 = sc2mac_wt_data10_d2; assign sc2mac_wt_dst_data11 = sc2mac_wt_data11_d2; assign sc2mac_wt_dst_data12 = sc2mac_wt_data12_d2; assign sc2mac_wt_dst_data13 = sc2mac_wt_data13_d2; assign sc2mac_wt_dst_data14 = sc2mac_wt_data14_d2; assign sc2mac_wt_dst_data15 = sc2mac_wt_data15_d2; assign sc2mac_wt_dst_data16 = sc2mac_wt_data16_d2; assign sc2mac_wt_dst_data17 = sc2mac_wt_data17_d2; assign sc2mac_wt_dst_data18 = sc2mac_wt_data18_d2; assign sc2mac_wt_dst_data19 = sc2mac_wt_data19_d2; assign sc2mac_wt_dst_data20 = sc2mac_wt_data20_d2; assign sc2mac_wt_dst_data21 = sc2mac_wt_data21_d2; assign sc2mac_wt_dst_data22 = sc2mac_wt_data22_d2; assign sc2mac_wt_dst_data23 = sc2mac_wt_data23_d2; assign sc2mac_wt_dst_data24 = sc2mac_wt_data24_d2; assign sc2mac_wt_dst_data25 = sc2mac_wt_data25_d2; assign sc2mac_wt_dst_data26 = sc2mac_wt_data26_d2; assign sc2mac_wt_dst_data27 = sc2mac_wt_data27_d2; assign sc2mac_wt_dst_data28 = sc2mac_wt_data28_d2; assign sc2mac_wt_dst_data29 = sc2mac_wt_data29_d2; assign sc2mac_wt_dst_data30 = sc2mac_wt_data30_d2; assign sc2mac_wt_dst_data31 = sc2mac_wt_data31_d2; assign sc2mac_wt_dst_data32 = sc2mac_wt_data32_d2; assign sc2mac_wt_dst_data33 = sc2mac_wt_data33_d2; assign sc2mac_wt_dst_data34 = sc2mac_wt_data34_d2; assign sc2mac_wt_dst_data35 = sc2mac_wt_data35_d2; assign sc2mac_wt_dst_data36 = sc2mac_wt_data36_d2; assign sc2mac_wt_dst_data37 = sc2mac_wt_data37_d2; assign sc2mac_wt_dst_data38 = sc2mac_wt_data38_d2; assign sc2mac_wt_dst_data39 = sc2mac_wt_data39_d2; assign sc2mac_wt_dst_data40 = sc2mac_wt_data40_d2; assign sc2mac_wt_dst_data41 = sc2mac_wt_data41_d2; assign sc2mac_wt_dst_data42 = sc2mac_wt_data42_d2; assign sc2mac_wt_dst_data43 = sc2mac_wt_data43_d2; assign sc2mac_wt_dst_data44 = sc2mac_wt_data44_d2; assign sc2mac_wt_dst_data45 = sc2mac_wt_data45_d2; assign sc2mac_wt_dst_data46 = sc2mac_wt_data46_d2; assign sc2mac_wt_dst_data47 = sc2mac_wt_data47_d2; assign sc2mac_wt_dst_data48 = sc2mac_wt_data48_d2; assign sc2mac_wt_dst_data49 = sc2mac_wt_data49_d2; assign sc2mac_wt_dst_data50 = sc2mac_wt_data50_d2; assign sc2mac_wt_dst_data51 = sc2mac_wt_data51_d2; assign sc2mac_wt_dst_data52 = sc2mac_wt_data52_d2; assign sc2mac_wt_dst_data53 = sc2mac_wt_data53_d2; assign sc2mac_wt_dst_data54 = sc2mac_wt_data54_d2; assign sc2mac_wt_dst_data55 = sc2mac_wt_data55_d2; assign sc2mac_wt_dst_data56 = sc2mac_wt_data56_d2; assign sc2mac_wt_dst_data57 = sc2mac_wt_data57_d2; assign sc2mac_wt_dst_data58 = sc2mac_wt_data58_d2; assign sc2mac_wt_dst_data59 = sc2mac_wt_data59_d2; assign sc2mac_wt_dst_data60 = sc2mac_wt_data60_d2; assign sc2mac_wt_dst_data61 = sc2mac_wt_data61_d2; assign sc2mac_wt_dst_data62 = sc2mac_wt_data62_d2; assign sc2mac_wt_dst_data63 = sc2mac_wt_data63_d2; assign sc2mac_wt_dst_data64 = sc2mac_wt_data64_d2; assign sc2mac_wt_dst_data65 = sc2mac_wt_data65_d2; assign sc2mac_wt_dst_data66 = sc2mac_wt_data66_d2; assign sc2mac_wt_dst_data67 = sc2mac_wt_data67_d2; assign sc2mac_wt_dst_data68 = sc2mac_wt_data68_d2; assign sc2mac_wt_dst_data69 = sc2mac_wt_data69_d2; assign sc2mac_wt_dst_data70 = sc2mac_wt_data70_d2; assign sc2mac_wt_dst_data71 = sc2mac_wt_data71_d2; assign sc2mac_wt_dst_data72 = sc2mac_wt_data72_d2; assign sc2mac_wt_dst_data73 = sc2mac_wt_data73_d2; assign sc2mac_wt_dst_data74 = sc2mac_wt_data74_d2; assign sc2mac_wt_dst_data75 = sc2mac_wt_data75_d2; assign sc2mac_wt_dst_data76 = sc2mac_wt_data76_d2; assign sc2mac_wt_dst_data77 = sc2mac_wt_data77_d2; assign sc2mac_wt_dst_data78 = sc2mac_wt_data78_d2; assign sc2mac_wt_dst_data79 = sc2mac_wt_data79_d2; assign sc2mac_wt_dst_data80 = sc2mac_wt_data80_d2; assign sc2mac_wt_dst_data81 = sc2mac_wt_data81_d2; assign sc2mac_wt_dst_data82 = sc2mac_wt_data82_d2; assign sc2mac_wt_dst_data83 = sc2mac_wt_data83_d2; assign sc2mac_wt_dst_data84 = sc2mac_wt_data84_d2; assign sc2mac_wt_dst_data85 = sc2mac_wt_data85_d2; assign sc2mac_wt_dst_data86 = sc2mac_wt_data86_d2; assign sc2mac_wt_dst_data87 = sc2mac_wt_data87_d2; assign sc2mac_wt_dst_data88 = sc2mac_wt_data88_d2; assign sc2mac_wt_dst_data89 = sc2mac_wt_data89_d2; assign sc2mac_wt_dst_data90 = sc2mac_wt_data90_d2; assign sc2mac_wt_dst_data91 = sc2mac_wt_data91_d2; assign sc2mac_wt_dst_data92 = sc2mac_wt_data92_d2; assign sc2mac_wt_dst_data93 = sc2mac_wt_data93_d2; assign sc2mac_wt_dst_data94 = sc2mac_wt_data94_d2; assign sc2mac_wt_dst_data95 = sc2mac_wt_data95_d2; assign sc2mac_wt_dst_data96 = sc2mac_wt_data96_d2; assign sc2mac_wt_dst_data97 = sc2mac_wt_data97_d2; assign sc2mac_wt_dst_data98 = sc2mac_wt_data98_d2; assign sc2mac_wt_dst_data99 = sc2mac_wt_data99_d2; assign sc2mac_wt_dst_data100 = sc2mac_wt_data100_d2; assign sc2mac_wt_dst_data101 = sc2mac_wt_data101_d2; assign sc2mac_wt_dst_data102 = sc2mac_wt_data102_d2; assign sc2mac_wt_dst_data103 = sc2mac_wt_data103_d2; assign sc2mac_wt_dst_data104 = sc2mac_wt_data104_d2; assign sc2mac_wt_dst_data105 = sc2mac_wt_data105_d2; assign sc2mac_wt_dst_data106 = sc2mac_wt_data106_d2; assign sc2mac_wt_dst_data107 = sc2mac_wt_data107_d2; assign sc2mac_wt_dst_data108 = sc2mac_wt_data108_d2; assign sc2mac_wt_dst_data109 = sc2mac_wt_data109_d2; assign sc2mac_wt_dst_data110 = sc2mac_wt_data110_d2; assign sc2mac_wt_dst_data111 = sc2mac_wt_data111_d2; assign sc2mac_wt_dst_data112 = sc2mac_wt_data112_d2; assign sc2mac_wt_dst_data113 = sc2mac_wt_data113_d2; assign sc2mac_wt_dst_data114 = sc2mac_wt_data114_d2; assign sc2mac_wt_dst_data115 = sc2mac_wt_data115_d2; assign sc2mac_wt_dst_data116 = sc2mac_wt_data116_d2; assign sc2mac_wt_dst_data117 = sc2mac_wt_data117_d2; assign sc2mac_wt_dst_data118 = sc2mac_wt_data118_d2; assign sc2mac_wt_dst_data119 = sc2mac_wt_data119_d2; assign sc2mac_wt_dst_data120 = sc2mac_wt_data120_d2; assign sc2mac_wt_dst_data121 = sc2mac_wt_data121_d2; assign sc2mac_wt_dst_data122 = sc2mac_wt_data122_d2; assign sc2mac_wt_dst_data123 = sc2mac_wt_data123_d2; assign sc2mac_wt_dst_data124 = sc2mac_wt_data124_d2; assign sc2mac_wt_dst_data125 = sc2mac_wt_data125_d2; assign sc2mac_wt_dst_data126 = sc2mac_wt_data126_d2; assign sc2mac_wt_dst_data127 = sc2mac_wt_data127_d2; assign sc2mac_dat_dst_pvld = sc2mac_dat_pvld_d2; assign sc2mac_dat_dst_pd = sc2mac_dat_pd_d2; assign sc2mac_dat_dst_mask = sc2mac_dat_mask_d2; assign sc2mac_dat_dst_data0 = sc2mac_dat_data0_d2; assign sc2mac_dat_dst_data1 = sc2mac_dat_data1_d2; assign sc2mac_dat_dst_data2 = sc2mac_dat_data2_d2; assign sc2mac_dat_dst_data3 = sc2mac_dat_data3_d2; assign sc2mac_dat_dst_data4 = sc2mac_dat_data4_d2; assign sc2mac_dat_dst_data5 = sc2mac_dat_data5_d2; assign sc2mac_dat_dst_data6 = sc2mac_dat_data6_d2; assign sc2mac_dat_dst_data7 = sc2mac_dat_data7_d2; assign sc2mac_dat_dst_data8 = sc2mac_dat_data8_d2; assign sc2mac_dat_dst_data9 = sc2mac_dat_data9_d2; assign sc2mac_dat_dst_data10 = sc2mac_dat_data10_d2; assign sc2mac_dat_dst_data11 = sc2mac_dat_data11_d2; assign sc2mac_dat_dst_data12 = sc2mac_dat_data12_d2; assign sc2mac_dat_dst_data13 = sc2mac_dat_data13_d2; assign sc2mac_dat_dst_data14 = sc2mac_dat_data14_d2; assign sc2mac_dat_dst_data15 = sc2mac_dat_data15_d2; assign sc2mac_dat_dst_data16 = sc2mac_dat_data16_d2; assign sc2mac_dat_dst_data17 = sc2mac_dat_data17_d2; assign sc2mac_dat_dst_data18 = sc2mac_dat_data18_d2; assign sc2mac_dat_dst_data19 = sc2mac_dat_data19_d2; assign sc2mac_dat_dst_data20 = sc2mac_dat_data20_d2; assign sc2mac_dat_dst_data21 = sc2mac_dat_data21_d2; assign sc2mac_dat_dst_data22 = sc2mac_dat_data22_d2; assign sc2mac_dat_dst_data23 = sc2mac_dat_data23_d2; assign sc2mac_dat_dst_data24 = sc2mac_dat_data24_d2; assign sc2mac_dat_dst_data25 = sc2mac_dat_data25_d2; assign sc2mac_dat_dst_data26 = sc2mac_dat_data26_d2; assign sc2mac_dat_dst_data27 = sc2mac_dat_data27_d2; assign sc2mac_dat_dst_data28 = sc2mac_dat_data28_d2; assign sc2mac_dat_dst_data29 = sc2mac_dat_data29_d2; assign sc2mac_dat_dst_data30 = sc2mac_dat_data30_d2; assign sc2mac_dat_dst_data31 = sc2mac_dat_data31_d2; assign sc2mac_dat_dst_data32 = sc2mac_dat_data32_d2; assign sc2mac_dat_dst_data33 = sc2mac_dat_data33_d2; assign sc2mac_dat_dst_data34 = sc2mac_dat_data34_d2; assign sc2mac_dat_dst_data35 = sc2mac_dat_data35_d2; assign sc2mac_dat_dst_data36 = sc2mac_dat_data36_d2; assign sc2mac_dat_dst_data37 = sc2mac_dat_data37_d2; assign sc2mac_dat_dst_data38 = sc2mac_dat_data38_d2; assign sc2mac_dat_dst_data39 = sc2mac_dat_data39_d2; assign sc2mac_dat_dst_data40 = sc2mac_dat_data40_d2; assign sc2mac_dat_dst_data41 = sc2mac_dat_data41_d2; assign sc2mac_dat_dst_data42 = sc2mac_dat_data42_d2; assign sc2mac_dat_dst_data43 = sc2mac_dat_data43_d2; assign sc2mac_dat_dst_data44 = sc2mac_dat_data44_d2; assign sc2mac_dat_dst_data45 = sc2mac_dat_data45_d2; assign sc2mac_dat_dst_data46 = sc2mac_dat_data46_d2; assign sc2mac_dat_dst_data47 = sc2mac_dat_data47_d2; assign sc2mac_dat_dst_data48 = sc2mac_dat_data48_d2; assign sc2mac_dat_dst_data49 = sc2mac_dat_data49_d2; assign sc2mac_dat_dst_data50 = sc2mac_dat_data50_d2; assign sc2mac_dat_dst_data51 = sc2mac_dat_data51_d2; assign sc2mac_dat_dst_data52 = sc2mac_dat_data52_d2; assign sc2mac_dat_dst_data53 = sc2mac_dat_data53_d2; assign sc2mac_dat_dst_data54 = sc2mac_dat_data54_d2; assign sc2mac_dat_dst_data55 = sc2mac_dat_data55_d2; assign sc2mac_dat_dst_data56 = sc2mac_dat_data56_d2; assign sc2mac_dat_dst_data57 = sc2mac_dat_data57_d2; assign sc2mac_dat_dst_data58 = sc2mac_dat_data58_d2; assign sc2mac_dat_dst_data59 = sc2mac_dat_data59_d2; assign sc2mac_dat_dst_data60 = sc2mac_dat_data60_d2; assign sc2mac_dat_dst_data61 = sc2mac_dat_data61_d2; assign sc2mac_dat_dst_data62 = sc2mac_dat_data62_d2; assign sc2mac_dat_dst_data63 = sc2mac_dat_data63_d2; assign sc2mac_dat_dst_data64 = sc2mac_dat_data64_d2; assign sc2mac_dat_dst_data65 = sc2mac_dat_data65_d2; assign sc2mac_dat_dst_data66 = sc2mac_dat_data66_d2; assign sc2mac_dat_dst_data67 = sc2mac_dat_data67_d2; assign sc2mac_dat_dst_data68 = sc2mac_dat_data68_d2; assign sc2mac_dat_dst_data69 = sc2mac_dat_data69_d2; assign sc2mac_dat_dst_data70 = sc2mac_dat_data70_d2; assign sc2mac_dat_dst_data71 = sc2mac_dat_data71_d2; assign sc2mac_dat_dst_data72 = sc2mac_dat_data72_d2; assign sc2mac_dat_dst_data73 = sc2mac_dat_data73_d2; assign sc2mac_dat_dst_data74 = sc2mac_dat_data74_d2; assign sc2mac_dat_dst_data75 = sc2mac_dat_data75_d2; assign sc2mac_dat_dst_data76 = sc2mac_dat_data76_d2; assign sc2mac_dat_dst_data77 = sc2mac_dat_data77_d2; assign sc2mac_dat_dst_data78 = sc2mac_dat_data78_d2; assign sc2mac_dat_dst_data79 = sc2mac_dat_data79_d2; assign sc2mac_dat_dst_data80 = sc2mac_dat_data80_d2; assign sc2mac_dat_dst_data81 = sc2mac_dat_data81_d2; assign sc2mac_dat_dst_data82 = sc2mac_dat_data82_d2; assign sc2mac_dat_dst_data83 = sc2mac_dat_data83_d2; assign sc2mac_dat_dst_data84 = sc2mac_dat_data84_d2; assign sc2mac_dat_dst_data85 = sc2mac_dat_data85_d2; assign sc2mac_dat_dst_data86 = sc2mac_dat_data86_d2; assign sc2mac_dat_dst_data87 = sc2mac_dat_data87_d2; assign sc2mac_dat_dst_data88 = sc2mac_dat_data88_d2; assign sc2mac_dat_dst_data89 = sc2mac_dat_data89_d2; assign sc2mac_dat_dst_data90 = sc2mac_dat_data90_d2; assign sc2mac_dat_dst_data91 = sc2mac_dat_data91_d2; assign sc2mac_dat_dst_data92 = sc2mac_dat_data92_d2; assign sc2mac_dat_dst_data93 = sc2mac_dat_data93_d2; assign sc2mac_dat_dst_data94 = sc2mac_dat_data94_d2; assign sc2mac_dat_dst_data95 = sc2mac_dat_data95_d2; assign sc2mac_dat_dst_data96 = sc2mac_dat_data96_d2; assign sc2mac_dat_dst_data97 = sc2mac_dat_data97_d2; assign sc2mac_dat_dst_data98 = sc2mac_dat_data98_d2; assign sc2mac_dat_dst_data99 = sc2mac_dat_data99_d2; assign sc2mac_dat_dst_data100 = sc2mac_dat_data100_d2; assign sc2mac_dat_dst_data101 = sc2mac_dat_data101_d2; assign sc2mac_dat_dst_data102 = sc2mac_dat_data102_d2; assign sc2mac_dat_dst_data103 = sc2mac_dat_data103_d2; assign sc2mac_dat_dst_data104 = sc2mac_dat_data104_d2; assign sc2mac_dat_dst_data105 = sc2mac_dat_data105_d2; assign sc2mac_dat_dst_data106 = sc2mac_dat_data106_d2; assign sc2mac_dat_dst_data107 = sc2mac_dat_data107_d2; assign sc2mac_dat_dst_data108 = sc2mac_dat_data108_d2; assign sc2mac_dat_dst_data109 = sc2mac_dat_data109_d2; assign sc2mac_dat_dst_data110 = sc2mac_dat_data110_d2; assign sc2mac_dat_dst_data111 = sc2mac_dat_data111_d2; assign sc2mac_dat_dst_data112 = sc2mac_dat_data112_d2; assign sc2mac_dat_dst_data113 = sc2mac_dat_data113_d2; assign sc2mac_dat_dst_data114 = sc2mac_dat_data114_d2; assign sc2mac_dat_dst_data115 = sc2mac_dat_data115_d2; assign sc2mac_dat_dst_data116 = sc2mac_dat_data116_d2; assign sc2mac_dat_dst_data117 = sc2mac_dat_data117_d2; assign sc2mac_dat_dst_data118 = sc2mac_dat_data118_d2; assign sc2mac_dat_dst_data119 = sc2mac_dat_data119_d2; assign sc2mac_dat_dst_data120 = sc2mac_dat_data120_d2; assign sc2mac_dat_dst_data121 = sc2mac_dat_data121_d2; assign sc2mac_dat_dst_data122 = sc2mac_dat_data122_d2; assign sc2mac_dat_dst_data123 = sc2mac_dat_data123_d2; assign sc2mac_dat_dst_data124 = sc2mac_dat_data124_d2; assign sc2mac_dat_dst_data125 = sc2mac_dat_data125_d2; assign sc2mac_dat_dst_data126 = sc2mac_dat_data126_d2; assign sc2mac_dat_dst_data127 = sc2mac_dat_data127_d2; endmodule
module NV_NVDLA_RT_csc2cmac_a ( nvdla_core_clk ,nvdla_core_rstn ,sc2mac_wt_src_pvld ,sc2mac_wt_src_mask ,sc2mac_wt_src_data0 ,sc2mac_wt_src_data1 ,sc2mac_wt_src_data2 ,sc2mac_wt_src_data3 ,sc2mac_wt_src_data4 ,sc2mac_wt_src_data5 ,sc2mac_wt_src_data6 ,sc2mac_wt_src_data7 ,sc2mac_wt_src_data8 ,sc2mac_wt_src_data9 ,sc2mac_wt_src_data10 ,sc2mac_wt_src_data11 ,sc2mac_wt_src_data12 ,sc2mac_wt_src_data13 ,sc2mac_wt_src_data14 ,sc2mac_wt_src_data15 ,sc2mac_wt_src_data16 ,sc2mac_wt_src_data17 ,sc2mac_wt_src_data18 ,sc2mac_wt_src_data19 ,sc2mac_wt_src_data20 ,sc2mac_wt_src_data21 ,sc2mac_wt_src_data22 ,sc2mac_wt_src_data23 ,sc2mac_wt_src_data24 ,sc2mac_wt_src_data25 ,sc2mac_wt_src_data26 ,sc2mac_wt_src_data27 ,sc2mac_wt_src_data28 ,sc2mac_wt_src_data29 ,sc2mac_wt_src_data30 ,sc2mac_wt_src_data31 ,sc2mac_wt_src_data32 ,sc2mac_wt_src_data33 ,sc2mac_wt_src_data34 ,sc2mac_wt_src_data35 ,sc2mac_wt_src_data36 ,sc2mac_wt_src_data37 ,sc2mac_wt_src_data38 ,sc2mac_wt_src_data39 ,sc2mac_wt_src_data40 ,sc2mac_wt_src_data41 ,sc2mac_wt_src_data42 ,sc2mac_wt_src_data43 ,sc2mac_wt_src_data44 ,sc2mac_wt_src_data45 ,sc2mac_wt_src_data46 ,sc2mac_wt_src_data47 ,sc2mac_wt_src_data48 ,sc2mac_wt_src_data49 ,sc2mac_wt_src_data50 ,sc2mac_wt_src_data51 ,sc2mac_wt_src_data52 ,sc2mac_wt_src_data53 ,sc2mac_wt_src_data54 ,sc2mac_wt_src_data55 ,sc2mac_wt_src_data56 ,sc2mac_wt_src_data57 ,sc2mac_wt_src_data58 ,sc2mac_wt_src_data59 ,sc2mac_wt_src_data60 ,sc2mac_wt_src_data61 ,sc2mac_wt_src_data62 ,sc2mac_wt_src_data63 ,sc2mac_wt_src_data64 ,sc2mac_wt_src_data65 ,sc2mac_wt_src_data66 ,sc2mac_wt_src_data67 ,sc2mac_wt_src_data68 ,sc2mac_wt_src_data69 ,sc2mac_wt_src_data70 ,sc2mac_wt_src_data71 ,sc2mac_wt_src_data72 ,sc2mac_wt_src_data73 ,sc2mac_wt_src_data74 ,sc2mac_wt_src_data75 ,sc2mac_wt_src_data76 ,sc2mac_wt_src_data77 ,sc2mac_wt_src_data78 ,sc2mac_wt_src_data79 ,sc2mac_wt_src_data80 ,sc2mac_wt_src_data81 ,sc2mac_wt_src_data82 ,sc2mac_wt_src_data83 ,sc2mac_wt_src_data84 ,sc2mac_wt_src_data85 ,sc2mac_wt_src_data86 ,sc2mac_wt_src_data87 ,sc2mac_wt_src_data88 ,sc2mac_wt_src_data89 ,sc2mac_wt_src_data90 ,sc2mac_wt_src_data91 ,sc2mac_wt_src_data92 ,sc2mac_wt_src_data93 ,sc2mac_wt_src_data94 ,sc2mac_wt_src_data95 ,sc2mac_wt_src_data96 ,sc2mac_wt_src_data97 ,sc2mac_wt_src_data98 ,sc2mac_wt_src_data99 ,sc2mac_wt_src_data100 ,sc2mac_wt_src_data101 ,sc2mac_wt_src_data102 ,sc2mac_wt_src_data103 ,sc2mac_wt_src_data104 ,sc2mac_wt_src_data105 ,sc2mac_wt_src_data106 ,sc2mac_wt_src_data107 ,sc2mac_wt_src_data108 ,sc2mac_wt_src_data109 ,sc2mac_wt_src_data110 ,sc2mac_wt_src_data111 ,sc2mac_wt_src_data112 ,sc2mac_wt_src_data113 ,sc2mac_wt_src_data114 ,sc2mac_wt_src_data115 ,sc2mac_wt_src_data116 ,sc2mac_wt_src_data117 ,sc2mac_wt_src_data118 ,sc2mac_wt_src_data119 ,sc2mac_wt_src_data120 ,sc2mac_wt_src_data121 ,sc2mac_wt_src_data122 ,sc2mac_wt_src_data123 ,sc2mac_wt_src_data124 ,sc2mac_wt_src_data125 ,sc2mac_wt_src_data126 ,sc2mac_wt_src_data127 ,sc2mac_wt_src_sel ,sc2mac_dat_src_pvld ,sc2mac_dat_src_mask ,sc2mac_dat_src_data0 ,sc2mac_dat_src_data1 ,sc2mac_dat_src_data2 ,sc2mac_dat_src_data3 ,sc2mac_dat_src_data4 ,sc2mac_dat_src_data5 ,sc2mac_dat_src_data6 ,sc2mac_dat_src_data7 ,sc2mac_dat_src_data8 ,sc2mac_dat_src_data9 ,sc2mac_dat_src_data10 ,sc2mac_dat_src_data11 ,sc2mac_dat_src_data12 ,sc2mac_dat_src_data13 ,sc2mac_dat_src_data14 ,sc2mac_dat_src_data15 ,sc2mac_dat_src_data16 ,sc2mac_dat_src_data17 ,sc2mac_dat_src_data18 ,sc2mac_dat_src_data19 ,sc2mac_dat_src_data20 ,sc2mac_dat_src_data21 ,sc2mac_dat_src_data22 ,sc2mac_dat_src_data23 ,sc2mac_dat_src_data24 ,sc2mac_dat_src_data25 ,sc2mac_dat_src_data26 ,sc2mac_dat_src_data27 ,sc2mac_dat_src_data28 ,sc2mac_dat_src_data29 ,sc2mac_dat_src_data30 ,sc2mac_dat_src_data31 ,sc2mac_dat_src_data32 ,sc2mac_dat_src_data33 ,sc2mac_dat_src_data34 ,sc2mac_dat_src_data35 ,sc2mac_dat_src_data36 ,sc2mac_dat_src_data37 ,sc2mac_dat_src_data38 ,sc2mac_dat_src_data39 ,sc2mac_dat_src_data40 ,sc2mac_dat_src_data41 ,sc2mac_dat_src_data42 ,sc2mac_dat_src_data43 ,sc2mac_dat_src_data44 ,sc2mac_dat_src_data45 ,sc2mac_dat_src_data46 ,sc2mac_dat_src_data47 ,sc2mac_dat_src_data48 ,sc2mac_dat_src_data49 ,sc2mac_dat_src_data50 ,sc2mac_dat_src_data51 ,sc2mac_dat_src_data52 ,sc2mac_dat_src_data53 ,sc2mac_dat_src_data54 ,sc2mac_dat_src_data55 ,sc2mac_dat_src_data56 ,sc2mac_dat_src_data57 ,sc2mac_dat_src_data58 ,sc2mac_dat_src_data59 ,sc2mac_dat_src_data60 ,sc2mac_dat_src_data61 ,sc2mac_dat_src_data62 ,sc2mac_dat_src_data63 ,sc2mac_dat_src_data64 ,sc2mac_dat_src_data65 ,sc2mac_dat_src_data66 ,sc2mac_dat_src_data67 ,sc2mac_dat_src_data68 ,sc2mac_dat_src_data69 ,sc2mac_dat_src_data70 ,sc2mac_dat_src_data71 ,sc2mac_dat_src_data72 ,sc2mac_dat_src_data73 ,sc2mac_dat_src_data74 ,sc2mac_dat_src_data75 ,sc2mac_dat_src_data76 ,sc2mac_dat_src_data77 ,sc2mac_dat_src_data78 ,sc2mac_dat_src_data79 ,sc2mac_dat_src_data80 ,sc2mac_dat_src_data81 ,sc2mac_dat_src_data82 ,sc2mac_dat_src_data83 ,sc2mac_dat_src_data84 ,sc2mac_dat_src_data85 ,sc2mac_dat_src_data86 ,sc2mac_dat_src_data87 ,sc2mac_dat_src_data88 ,sc2mac_dat_src_data89 ,sc2mac_dat_src_data90 ,sc2mac_dat_src_data91 ,sc2mac_dat_src_data92 ,sc2mac_dat_src_data93 ,sc2mac_dat_src_data94 ,sc2mac_dat_src_data95 ,sc2mac_dat_src_data96 ,sc2mac_dat_src_data97 ,sc2mac_dat_src_data98 ,sc2mac_dat_src_data99 ,sc2mac_dat_src_data100 ,sc2mac_dat_src_data101 ,sc2mac_dat_src_data102 ,sc2mac_dat_src_data103 ,sc2mac_dat_src_data104 ,sc2mac_dat_src_data105 ,sc2mac_dat_src_data106 ,sc2mac_dat_src_data107 ,sc2mac_dat_src_data108 ,sc2mac_dat_src_data109 ,sc2mac_dat_src_data110 ,sc2mac_dat_src_data111 ,sc2mac_dat_src_data112 ,sc2mac_dat_src_data113 ,sc2mac_dat_src_data114 ,sc2mac_dat_src_data115 ,sc2mac_dat_src_data116 ,sc2mac_dat_src_data117 ,sc2mac_dat_src_data118 ,sc2mac_dat_src_data119 ,sc2mac_dat_src_data120 ,sc2mac_dat_src_data121 ,sc2mac_dat_src_data122 ,sc2mac_dat_src_data123 ,sc2mac_dat_src_data124 ,sc2mac_dat_src_data125 ,sc2mac_dat_src_data126 ,sc2mac_dat_src_data127 ,sc2mac_dat_src_pd ,sc2mac_wt_dst_pvld ,sc2mac_wt_dst_mask ,sc2mac_wt_dst_data0 ,sc2mac_wt_dst_data1 ,sc2mac_wt_dst_data2 ,sc2mac_wt_dst_data3 ,sc2mac_wt_dst_data4 ,sc2mac_wt_dst_data5 ,sc2mac_wt_dst_data6 ,sc2mac_wt_dst_data7 ,sc2mac_wt_dst_data8 ,sc2mac_wt_dst_data9 ,sc2mac_wt_dst_data10 ,sc2mac_wt_dst_data11 ,sc2mac_wt_dst_data12 ,sc2mac_wt_dst_data13 ,sc2mac_wt_dst_data14 ,sc2mac_wt_dst_data15 ,sc2mac_wt_dst_data16 ,sc2mac_wt_dst_data17 ,sc2mac_wt_dst_data18 ,sc2mac_wt_dst_data19 ,sc2mac_wt_dst_data20 ,sc2mac_wt_dst_data21 ,sc2mac_wt_dst_data22 ,sc2mac_wt_dst_data23 ,sc2mac_wt_dst_data24 ,sc2mac_wt_dst_data25 ,sc2mac_wt_dst_data26 ,sc2mac_wt_dst_data27 ,sc2mac_wt_dst_data28 ,sc2mac_wt_dst_data29 ,sc2mac_wt_dst_data30 ,sc2mac_wt_dst_data31 ,sc2mac_wt_dst_data32 ,sc2mac_wt_dst_data33 ,sc2mac_wt_dst_data34 ,sc2mac_wt_dst_data35 ,sc2mac_wt_dst_data36 ,sc2mac_wt_dst_data37 ,sc2mac_wt_dst_data38 ,sc2mac_wt_dst_data39 ,sc2mac_wt_dst_data40 ,sc2mac_wt_dst_data41 ,sc2mac_wt_dst_data42 ,sc2mac_wt_dst_data43 ,sc2mac_wt_dst_data44 ,sc2mac_wt_dst_data45 ,sc2mac_wt_dst_data46 ,sc2mac_wt_dst_data47 ,sc2mac_wt_dst_data48 ,sc2mac_wt_dst_data49 ,sc2mac_wt_dst_data50 ,sc2mac_wt_dst_data51 ,sc2mac_wt_dst_data52 ,sc2mac_wt_dst_data53 ,sc2mac_wt_dst_data54 ,sc2mac_wt_dst_data55 ,sc2mac_wt_dst_data56 ,sc2mac_wt_dst_data57 ,sc2mac_wt_dst_data58 ,sc2mac_wt_dst_data59 ,sc2mac_wt_dst_data60 ,sc2mac_wt_dst_data61 ,sc2mac_wt_dst_data62 ,sc2mac_wt_dst_data63 ,sc2mac_wt_dst_data64 ,sc2mac_wt_dst_data65 ,sc2mac_wt_dst_data66 ,sc2mac_wt_dst_data67 ,sc2mac_wt_dst_data68 ,sc2mac_wt_dst_data69 ,sc2mac_wt_dst_data70 ,sc2mac_wt_dst_data71 ,sc2mac_wt_dst_data72 ,sc2mac_wt_dst_data73 ,sc2mac_wt_dst_data74 ,sc2mac_wt_dst_data75 ,sc2mac_wt_dst_data76 ,sc2mac_wt_dst_data77 ,sc2mac_wt_dst_data78 ,sc2mac_wt_dst_data79 ,sc2mac_wt_dst_data80 ,sc2mac_wt_dst_data81 ,sc2mac_wt_dst_data82 ,sc2mac_wt_dst_data83 ,sc2mac_wt_dst_data84 ,sc2mac_wt_dst_data85 ,sc2mac_wt_dst_data86 ,sc2mac_wt_dst_data87 ,sc2mac_wt_dst_data88 ,sc2mac_wt_dst_data89 ,sc2mac_wt_dst_data90 ,sc2mac_wt_dst_data91 ,sc2mac_wt_dst_data92 ,sc2mac_wt_dst_data93 ,sc2mac_wt_dst_data94 ,sc2mac_wt_dst_data95 ,sc2mac_wt_dst_data96 ,sc2mac_wt_dst_data97 ,sc2mac_wt_dst_data98 ,sc2mac_wt_dst_data99 ,sc2mac_wt_dst_data100 ,sc2mac_wt_dst_data101 ,sc2mac_wt_dst_data102 ,sc2mac_wt_dst_data103 ,sc2mac_wt_dst_data104 ,sc2mac_wt_dst_data105 ,sc2mac_wt_dst_data106 ,sc2mac_wt_dst_data107 ,sc2mac_wt_dst_data108 ,sc2mac_wt_dst_data109 ,sc2mac_wt_dst_data110 ,sc2mac_wt_dst_data111 ,sc2mac_wt_dst_data112 ,sc2mac_wt_dst_data113 ,sc2mac_wt_dst_data114 ,sc2mac_wt_dst_data115 ,sc2mac_wt_dst_data116 ,sc2mac_wt_dst_data117 ,sc2mac_wt_dst_data118 ,sc2mac_wt_dst_data119 ,sc2mac_wt_dst_data120 ,sc2mac_wt_dst_data121 ,sc2mac_wt_dst_data122 ,sc2mac_wt_dst_data123 ,sc2mac_wt_dst_data124 ,sc2mac_wt_dst_data125 ,sc2mac_wt_dst_data126 ,sc2mac_wt_dst_data127 ,sc2mac_wt_dst_sel ,sc2mac_dat_dst_pvld ,sc2mac_dat_dst_mask ,sc2mac_dat_dst_data0 ,sc2mac_dat_dst_data1 ,sc2mac_dat_dst_data2 ,sc2mac_dat_dst_data3 ,sc2mac_dat_dst_data4 ,sc2mac_dat_dst_data5 ,sc2mac_dat_dst_data6 ,sc2mac_dat_dst_data7 ,sc2mac_dat_dst_data8 ,sc2mac_dat_dst_data9 ,sc2mac_dat_dst_data10 ,sc2mac_dat_dst_data11 ,sc2mac_dat_dst_data12 ,sc2mac_dat_dst_data13 ,sc2mac_dat_dst_data14 ,sc2mac_dat_dst_data15 ,sc2mac_dat_dst_data16 ,sc2mac_dat_dst_data17 ,sc2mac_dat_dst_data18 ,sc2mac_dat_dst_data19 ,sc2mac_dat_dst_data20 ,sc2mac_dat_dst_data21 ,sc2mac_dat_dst_data22 ,sc2mac_dat_dst_data23 ,sc2mac_dat_dst_data24 ,sc2mac_dat_dst_data25 ,sc2mac_dat_dst_data26 ,sc2mac_dat_dst_data27 ,sc2mac_dat_dst_data28 ,sc2mac_dat_dst_data29 ,sc2mac_dat_dst_data30 ,sc2mac_dat_dst_data31 ,sc2mac_dat_dst_data32 ,sc2mac_dat_dst_data33 ,sc2mac_dat_dst_data34 ,sc2mac_dat_dst_data35 ,sc2mac_dat_dst_data36 ,sc2mac_dat_dst_data37 ,sc2mac_dat_dst_data38 ,sc2mac_dat_dst_data39 ,sc2mac_dat_dst_data40 ,sc2mac_dat_dst_data41 ,sc2mac_dat_dst_data42 ,sc2mac_dat_dst_data43 ,sc2mac_dat_dst_data44 ,sc2mac_dat_dst_data45 ,sc2mac_dat_dst_data46 ,sc2mac_dat_dst_data47 ,sc2mac_dat_dst_data48 ,sc2mac_dat_dst_data49 ,sc2mac_dat_dst_data50 ,sc2mac_dat_dst_data51 ,sc2mac_dat_dst_data52 ,sc2mac_dat_dst_data53 ,sc2mac_dat_dst_data54 ,sc2mac_dat_dst_data55 ,sc2mac_dat_dst_data56 ,sc2mac_dat_dst_data57 ,sc2mac_dat_dst_data58 ,sc2mac_dat_dst_data59 ,sc2mac_dat_dst_data60 ,sc2mac_dat_dst_data61 ,sc2mac_dat_dst_data62 ,sc2mac_dat_dst_data63 ,sc2mac_dat_dst_data64 ,sc2mac_dat_dst_data65 ,sc2mac_dat_dst_data66 ,sc2mac_dat_dst_data67 ,sc2mac_dat_dst_data68 ,sc2mac_dat_dst_data69 ,sc2mac_dat_dst_data70 ,sc2mac_dat_dst_data71 ,sc2mac_dat_dst_data72 ,sc2mac_dat_dst_data73 ,sc2mac_dat_dst_data74 ,sc2mac_dat_dst_data75 ,sc2mac_dat_dst_data76 ,sc2mac_dat_dst_data77 ,sc2mac_dat_dst_data78 ,sc2mac_dat_dst_data79 ,sc2mac_dat_dst_data80 ,sc2mac_dat_dst_data81 ,sc2mac_dat_dst_data82 ,sc2mac_dat_dst_data83 ,sc2mac_dat_dst_data84 ,sc2mac_dat_dst_data85 ,sc2mac_dat_dst_data86 ,sc2mac_dat_dst_data87 ,sc2mac_dat_dst_data88 ,sc2mac_dat_dst_data89 ,sc2mac_dat_dst_data90 ,sc2mac_dat_dst_data91 ,sc2mac_dat_dst_data92 ,sc2mac_dat_dst_data93 ,sc2mac_dat_dst_data94 ,sc2mac_dat_dst_data95 ,sc2mac_dat_dst_data96 ,sc2mac_dat_dst_data97 ,sc2mac_dat_dst_data98 ,sc2mac_dat_dst_data99 ,sc2mac_dat_dst_data100 ,sc2mac_dat_dst_data101 ,sc2mac_dat_dst_data102 ,sc2mac_dat_dst_data103 ,sc2mac_dat_dst_data104 ,sc2mac_dat_dst_data105 ,sc2mac_dat_dst_data106 ,sc2mac_dat_dst_data107 ,sc2mac_dat_dst_data108 ,sc2mac_dat_dst_data109 ,sc2mac_dat_dst_data110 ,sc2mac_dat_dst_data111 ,sc2mac_dat_dst_data112 ,sc2mac_dat_dst_data113 ,sc2mac_dat_dst_data114 ,sc2mac_dat_dst_data115 ,sc2mac_dat_dst_data116 ,sc2mac_dat_dst_data117 ,sc2mac_dat_dst_data118 ,sc2mac_dat_dst_data119 ,sc2mac_dat_dst_data120 ,sc2mac_dat_dst_data121 ,sc2mac_dat_dst_data122 ,sc2mac_dat_dst_data123 ,sc2mac_dat_dst_data124 ,sc2mac_dat_dst_data125 ,sc2mac_dat_dst_data126 ,sc2mac_dat_dst_data127 ,sc2mac_dat_dst_pd );
input nvdla_core_clk; input nvdla_core_rstn; input sc2mac_wt_src_pvld; input [127:0] sc2mac_wt_src_mask; input [7:0] sc2mac_wt_src_data0; input [7:0] sc2mac_wt_src_data1; input [7:0] sc2mac_wt_src_data2; input [7:0] sc2mac_wt_src_data3; input [7:0] sc2mac_wt_src_data4; input [7:0] sc2mac_wt_src_data5; input [7:0] sc2mac_wt_src_data6; input [7:0] sc2mac_wt_src_data7; input [7:0] sc2mac_wt_src_data8; input [7:0] sc2mac_wt_src_data9; input [7:0] sc2mac_wt_src_data10; input [7:0] sc2mac_wt_src_data11; input [7:0] sc2mac_wt_src_data12; input [7:0] sc2mac_wt_src_data13; input [7:0] sc2mac_wt_src_data14; input [7:0] sc2mac_wt_src_data15; input [7:0] sc2mac_wt_src_data16; input [7:0] sc2mac_wt_src_data17; input [7:0] sc2mac_wt_src_data18; input [7:0] sc2mac_wt_src_data19; input [7:0] sc2mac_wt_src_data20; input [7:0] sc2mac_wt_src_data21; input [7:0] sc2mac_wt_src_data22; input [7:0] sc2mac_wt_src_data23; input [7:0] sc2mac_wt_src_data24; input [7:0] sc2mac_wt_src_data25; input [7:0] sc2mac_wt_src_data26; input [7:0] sc2mac_wt_src_data27; input [7:0] sc2mac_wt_src_data28; input [7:0] sc2mac_wt_src_data29; input [7:0] sc2mac_wt_src_data30; input [7:0] sc2mac_wt_src_data31; input [7:0] sc2mac_wt_src_data32; input [7:0] sc2mac_wt_src_data33; input [7:0] sc2mac_wt_src_data34; input [7:0] sc2mac_wt_src_data35; input [7:0] sc2mac_wt_src_data36; input [7:0] sc2mac_wt_src_data37; input [7:0] sc2mac_wt_src_data38; input [7:0] sc2mac_wt_src_data39; input [7:0] sc2mac_wt_src_data40; input [7:0] sc2mac_wt_src_data41; input [7:0] sc2mac_wt_src_data42; input [7:0] sc2mac_wt_src_data43; input [7:0] sc2mac_wt_src_data44; input [7:0] sc2mac_wt_src_data45; input [7:0] sc2mac_wt_src_data46; input [7:0] sc2mac_wt_src_data47; input [7:0] sc2mac_wt_src_data48; input [7:0] sc2mac_wt_src_data49; input [7:0] sc2mac_wt_src_data50; input [7:0] sc2mac_wt_src_data51; input [7:0] sc2mac_wt_src_data52; input [7:0] sc2mac_wt_src_data53; input [7:0] sc2mac_wt_src_data54; input [7:0] sc2mac_wt_src_data55; input [7:0] sc2mac_wt_src_data56; input [7:0] sc2mac_wt_src_data57; input [7:0] sc2mac_wt_src_data58; input [7:0] sc2mac_wt_src_data59; input [7:0] sc2mac_wt_src_data60; input [7:0] sc2mac_wt_src_data61; input [7:0] sc2mac_wt_src_data62; input [7:0] sc2mac_wt_src_data63; input [7:0] sc2mac_wt_src_data64; input [7:0] sc2mac_wt_src_data65; input [7:0] sc2mac_wt_src_data66; input [7:0] sc2mac_wt_src_data67; input [7:0] sc2mac_wt_src_data68; input [7:0] sc2mac_wt_src_data69; input [7:0] sc2mac_wt_src_data70; input [7:0] sc2mac_wt_src_data71; input [7:0] sc2mac_wt_src_data72; input [7:0] sc2mac_wt_src_data73; input [7:0] sc2mac_wt_src_data74; input [7:0] sc2mac_wt_src_data75; input [7:0] sc2mac_wt_src_data76; input [7:0] sc2mac_wt_src_data77; input [7:0] sc2mac_wt_src_data78; input [7:0] sc2mac_wt_src_data79; input [7:0] sc2mac_wt_src_data80; input [7:0] sc2mac_wt_src_data81; input [7:0] sc2mac_wt_src_data82; input [7:0] sc2mac_wt_src_data83; input [7:0] sc2mac_wt_src_data84; input [7:0] sc2mac_wt_src_data85; input [7:0] sc2mac_wt_src_data86; input [7:0] sc2mac_wt_src_data87; input [7:0] sc2mac_wt_src_data88; input [7:0] sc2mac_wt_src_data89; input [7:0] sc2mac_wt_src_data90; input [7:0] sc2mac_wt_src_data91; input [7:0] sc2mac_wt_src_data92; input [7:0] sc2mac_wt_src_data93; input [7:0] sc2mac_wt_src_data94; input [7:0] sc2mac_wt_src_data95; input [7:0] sc2mac_wt_src_data96; input [7:0] sc2mac_wt_src_data97; input [7:0] sc2mac_wt_src_data98; input [7:0] sc2mac_wt_src_data99; input [7:0] sc2mac_wt_src_data100; input [7:0] sc2mac_wt_src_data101; input [7:0] sc2mac_wt_src_data102; input [7:0] sc2mac_wt_src_data103; input [7:0] sc2mac_wt_src_data104; input [7:0] sc2mac_wt_src_data105; input [7:0] sc2mac_wt_src_data106; input [7:0] sc2mac_wt_src_data107; input [7:0] sc2mac_wt_src_data108; input [7:0] sc2mac_wt_src_data109; input [7:0] sc2mac_wt_src_data110; input [7:0] sc2mac_wt_src_data111; input [7:0] sc2mac_wt_src_data112; input [7:0] sc2mac_wt_src_data113; input [7:0] sc2mac_wt_src_data114; input [7:0] sc2mac_wt_src_data115; input [7:0] sc2mac_wt_src_data116; input [7:0] sc2mac_wt_src_data117; input [7:0] sc2mac_wt_src_data118; input [7:0] sc2mac_wt_src_data119; input [7:0] sc2mac_wt_src_data120; input [7:0] sc2mac_wt_src_data121; input [7:0] sc2mac_wt_src_data122; input [7:0] sc2mac_wt_src_data123; input [7:0] sc2mac_wt_src_data124; input [7:0] sc2mac_wt_src_data125; input [7:0] sc2mac_wt_src_data126; input [7:0] sc2mac_wt_src_data127; input [7:0] sc2mac_wt_src_sel; input sc2mac_dat_src_pvld; input [127:0] sc2mac_dat_src_mask; input [7:0] sc2mac_dat_src_data0; input [7:0] sc2mac_dat_src_data1; input [7:0] sc2mac_dat_src_data2; input [7:0] sc2mac_dat_src_data3; input [7:0] sc2mac_dat_src_data4; input [7:0] sc2mac_dat_src_data5; input [7:0] sc2mac_dat_src_data6; input [7:0] sc2mac_dat_src_data7; input [7:0] sc2mac_dat_src_data8; input [7:0] sc2mac_dat_src_data9; input [7:0] sc2mac_dat_src_data10; input [7:0] sc2mac_dat_src_data11; input [7:0] sc2mac_dat_src_data12; input [7:0] sc2mac_dat_src_data13; input [7:0] sc2mac_dat_src_data14; input [7:0] sc2mac_dat_src_data15; input [7:0] sc2mac_dat_src_data16; input [7:0] sc2mac_dat_src_data17; input [7:0] sc2mac_dat_src_data18; input [7:0] sc2mac_dat_src_data19; input [7:0] sc2mac_dat_src_data20; input [7:0] sc2mac_dat_src_data21; input [7:0] sc2mac_dat_src_data22; input [7:0] sc2mac_dat_src_data23; input [7:0] sc2mac_dat_src_data24; input [7:0] sc2mac_dat_src_data25; input [7:0] sc2mac_dat_src_data26; input [7:0] sc2mac_dat_src_data27; input [7:0] sc2mac_dat_src_data28; input [7:0] sc2mac_dat_src_data29; input [7:0] sc2mac_dat_src_data30; input [7:0] sc2mac_dat_src_data31; input [7:0] sc2mac_dat_src_data32; input [7:0] sc2mac_dat_src_data33; input [7:0] sc2mac_dat_src_data34; input [7:0] sc2mac_dat_src_data35; input [7:0] sc2mac_dat_src_data36; input [7:0] sc2mac_dat_src_data37; input [7:0] sc2mac_dat_src_data38; input [7:0] sc2mac_dat_src_data39; input [7:0] sc2mac_dat_src_data40; input [7:0] sc2mac_dat_src_data41; input [7:0] sc2mac_dat_src_data42; input [7:0] sc2mac_dat_src_data43; input [7:0] sc2mac_dat_src_data44; input [7:0] sc2mac_dat_src_data45; input [7:0] sc2mac_dat_src_data46; input [7:0] sc2mac_dat_src_data47; input [7:0] sc2mac_dat_src_data48; input [7:0] sc2mac_dat_src_data49; input [7:0] sc2mac_dat_src_data50; input [7:0] sc2mac_dat_src_data51; input [7:0] sc2mac_dat_src_data52; input [7:0] sc2mac_dat_src_data53; input [7:0] sc2mac_dat_src_data54; input [7:0] sc2mac_dat_src_data55; input [7:0] sc2mac_dat_src_data56; input [7:0] sc2mac_dat_src_data57; input [7:0] sc2mac_dat_src_data58; input [7:0] sc2mac_dat_src_data59; input [7:0] sc2mac_dat_src_data60; input [7:0] sc2mac_dat_src_data61; input [7:0] sc2mac_dat_src_data62; input [7:0] sc2mac_dat_src_data63; input [7:0] sc2mac_dat_src_data64; input [7:0] sc2mac_dat_src_data65; input [7:0] sc2mac_dat_src_data66; input [7:0] sc2mac_dat_src_data67; input [7:0] sc2mac_dat_src_data68; input [7:0] sc2mac_dat_src_data69; input [7:0] sc2mac_dat_src_data70; input [7:0] sc2mac_dat_src_data71; input [7:0] sc2mac_dat_src_data72; input [7:0] sc2mac_dat_src_data73; input [7:0] sc2mac_dat_src_data74; input [7:0] sc2mac_dat_src_data75; input [7:0] sc2mac_dat_src_data76; input [7:0] sc2mac_dat_src_data77; input [7:0] sc2mac_dat_src_data78; input [7:0] sc2mac_dat_src_data79; input [7:0] sc2mac_dat_src_data80; input [7:0] sc2mac_dat_src_data81; input [7:0] sc2mac_dat_src_data82; input [7:0] sc2mac_dat_src_data83; input [7:0] sc2mac_dat_src_data84; input [7:0] sc2mac_dat_src_data85; input [7:0] sc2mac_dat_src_data86; input [7:0] sc2mac_dat_src_data87; input [7:0] sc2mac_dat_src_data88; input [7:0] sc2mac_dat_src_data89; input [7:0] sc2mac_dat_src_data90; input [7:0] sc2mac_dat_src_data91; input [7:0] sc2mac_dat_src_data92; input [7:0] sc2mac_dat_src_data93; input [7:0] sc2mac_dat_src_data94; input [7:0] sc2mac_dat_src_data95; input [7:0] sc2mac_dat_src_data96; input [7:0] sc2mac_dat_src_data97; input [7:0] sc2mac_dat_src_data98; input [7:0] sc2mac_dat_src_data99; input [7:0] sc2mac_dat_src_data100; input [7:0] sc2mac_dat_src_data101; input [7:0] sc2mac_dat_src_data102; input [7:0] sc2mac_dat_src_data103; input [7:0] sc2mac_dat_src_data104; input [7:0] sc2mac_dat_src_data105; input [7:0] sc2mac_dat_src_data106; input [7:0] sc2mac_dat_src_data107; input [7:0] sc2mac_dat_src_data108; input [7:0] sc2mac_dat_src_data109; input [7:0] sc2mac_dat_src_data110; input [7:0] sc2mac_dat_src_data111; input [7:0] sc2mac_dat_src_data112; input [7:0] sc2mac_dat_src_data113; input [7:0] sc2mac_dat_src_data114; input [7:0] sc2mac_dat_src_data115; input [7:0] sc2mac_dat_src_data116; input [7:0] sc2mac_dat_src_data117; input [7:0] sc2mac_dat_src_data118; input [7:0] sc2mac_dat_src_data119; input [7:0] sc2mac_dat_src_data120; input [7:0] sc2mac_dat_src_data121; input [7:0] sc2mac_dat_src_data122; input [7:0] sc2mac_dat_src_data123; input [7:0] sc2mac_dat_src_data124; input [7:0] sc2mac_dat_src_data125; input [7:0] sc2mac_dat_src_data126; input [7:0] sc2mac_dat_src_data127; input [8:0] sc2mac_dat_src_pd; output sc2mac_wt_dst_pvld; output [127:0] sc2mac_wt_dst_mask; output [7:0] sc2mac_wt_dst_data0; output [7:0] sc2mac_wt_dst_data1; output [7:0] sc2mac_wt_dst_data2; output [7:0] sc2mac_wt_dst_data3; output [7:0] sc2mac_wt_dst_data4; output [7:0] sc2mac_wt_dst_data5; output [7:0] sc2mac_wt_dst_data6; output [7:0] sc2mac_wt_dst_data7; output [7:0] sc2mac_wt_dst_data8; output [7:0] sc2mac_wt_dst_data9; output [7:0] sc2mac_wt_dst_data10; output [7:0] sc2mac_wt_dst_data11; output [7:0] sc2mac_wt_dst_data12; output [7:0] sc2mac_wt_dst_data13; output [7:0] sc2mac_wt_dst_data14; output [7:0] sc2mac_wt_dst_data15; output [7:0] sc2mac_wt_dst_data16; output [7:0] sc2mac_wt_dst_data17; output [7:0] sc2mac_wt_dst_data18; output [7:0] sc2mac_wt_dst_data19; output [7:0] sc2mac_wt_dst_data20; output [7:0] sc2mac_wt_dst_data21; output [7:0] sc2mac_wt_dst_data22; output [7:0] sc2mac_wt_dst_data23; output [7:0] sc2mac_wt_dst_data24; output [7:0] sc2mac_wt_dst_data25; output [7:0] sc2mac_wt_dst_data26; output [7:0] sc2mac_wt_dst_data27; output [7:0] sc2mac_wt_dst_data28; output [7:0] sc2mac_wt_dst_data29; output [7:0] sc2mac_wt_dst_data30; output [7:0] sc2mac_wt_dst_data31; output [7:0] sc2mac_wt_dst_data32; output [7:0] sc2mac_wt_dst_data33; output [7:0] sc2mac_wt_dst_data34; output [7:0] sc2mac_wt_dst_data35; output [7:0] sc2mac_wt_dst_data36; output [7:0] sc2mac_wt_dst_data37; output [7:0] sc2mac_wt_dst_data38; output [7:0] sc2mac_wt_dst_data39; output [7:0] sc2mac_wt_dst_data40; output [7:0] sc2mac_wt_dst_data41; output [7:0] sc2mac_wt_dst_data42; output [7:0] sc2mac_wt_dst_data43; output [7:0] sc2mac_wt_dst_data44; output [7:0] sc2mac_wt_dst_data45; output [7:0] sc2mac_wt_dst_data46; output [7:0] sc2mac_wt_dst_data47; output [7:0] sc2mac_wt_dst_data48; output [7:0] sc2mac_wt_dst_data49; output [7:0] sc2mac_wt_dst_data50; output [7:0] sc2mac_wt_dst_data51; output [7:0] sc2mac_wt_dst_data52; output [7:0] sc2mac_wt_dst_data53; output [7:0] sc2mac_wt_dst_data54; output [7:0] sc2mac_wt_dst_data55; output [7:0] sc2mac_wt_dst_data56; output [7:0] sc2mac_wt_dst_data57; output [7:0] sc2mac_wt_dst_data58; output [7:0] sc2mac_wt_dst_data59; output [7:0] sc2mac_wt_dst_data60; output [7:0] sc2mac_wt_dst_data61; output [7:0] sc2mac_wt_dst_data62; output [7:0] sc2mac_wt_dst_data63; output [7:0] sc2mac_wt_dst_data64; output [7:0] sc2mac_wt_dst_data65; output [7:0] sc2mac_wt_dst_data66; output [7:0] sc2mac_wt_dst_data67; output [7:0] sc2mac_wt_dst_data68; output [7:0] sc2mac_wt_dst_data69; output [7:0] sc2mac_wt_dst_data70; output [7:0] sc2mac_wt_dst_data71; output [7:0] sc2mac_wt_dst_data72; output [7:0] sc2mac_wt_dst_data73; output [7:0] sc2mac_wt_dst_data74; output [7:0] sc2mac_wt_dst_data75; output [7:0] sc2mac_wt_dst_data76; output [7:0] sc2mac_wt_dst_data77; output [7:0] sc2mac_wt_dst_data78; output [7:0] sc2mac_wt_dst_data79; output [7:0] sc2mac_wt_dst_data80; output [7:0] sc2mac_wt_dst_data81; output [7:0] sc2mac_wt_dst_data82; output [7:0] sc2mac_wt_dst_data83; output [7:0] sc2mac_wt_dst_data84; output [7:0] sc2mac_wt_dst_data85; output [7:0] sc2mac_wt_dst_data86; output [7:0] sc2mac_wt_dst_data87; output [7:0] sc2mac_wt_dst_data88; output [7:0] sc2mac_wt_dst_data89; output [7:0] sc2mac_wt_dst_data90; output [7:0] sc2mac_wt_dst_data91; output [7:0] sc2mac_wt_dst_data92; output [7:0] sc2mac_wt_dst_data93; output [7:0] sc2mac_wt_dst_data94; output [7:0] sc2mac_wt_dst_data95; output [7:0] sc2mac_wt_dst_data96; output [7:0] sc2mac_wt_dst_data97; output [7:0] sc2mac_wt_dst_data98; output [7:0] sc2mac_wt_dst_data99; output [7:0] sc2mac_wt_dst_data100; output [7:0] sc2mac_wt_dst_data101; output [7:0] sc2mac_wt_dst_data102; output [7:0] sc2mac_wt_dst_data103; output [7:0] sc2mac_wt_dst_data104; output [7:0] sc2mac_wt_dst_data105; output [7:0] sc2mac_wt_dst_data106; output [7:0] sc2mac_wt_dst_data107; output [7:0] sc2mac_wt_dst_data108; output [7:0] sc2mac_wt_dst_data109; output [7:0] sc2mac_wt_dst_data110; output [7:0] sc2mac_wt_dst_data111; output [7:0] sc2mac_wt_dst_data112; output [7:0] sc2mac_wt_dst_data113; output [7:0] sc2mac_wt_dst_data114; output [7:0] sc2mac_wt_dst_data115; output [7:0] sc2mac_wt_dst_data116; output [7:0] sc2mac_wt_dst_data117; output [7:0] sc2mac_wt_dst_data118; output [7:0] sc2mac_wt_dst_data119; output [7:0] sc2mac_wt_dst_data120; output [7:0] sc2mac_wt_dst_data121; output [7:0] sc2mac_wt_dst_data122; output [7:0] sc2mac_wt_dst_data123; output [7:0] sc2mac_wt_dst_data124; output [7:0] sc2mac_wt_dst_data125; output [7:0] sc2mac_wt_dst_data126; output [7:0] sc2mac_wt_dst_data127; output [7:0] sc2mac_wt_dst_sel; output sc2mac_dat_dst_pvld; output [127:0] sc2mac_dat_dst_mask; output [7:0] sc2mac_dat_dst_data0; output [7:0] sc2mac_dat_dst_data1; output [7:0] sc2mac_dat_dst_data2; output [7:0] sc2mac_dat_dst_data3; output [7:0] sc2mac_dat_dst_data4; output [7:0] sc2mac_dat_dst_data5; output [7:0] sc2mac_dat_dst_data6; output [7:0] sc2mac_dat_dst_data7; output [7:0] sc2mac_dat_dst_data8; output [7:0] sc2mac_dat_dst_data9; output [7:0] sc2mac_dat_dst_data10; output [7:0] sc2mac_dat_dst_data11; output [7:0] sc2mac_dat_dst_data12; output [7:0] sc2mac_dat_dst_data13; output [7:0] sc2mac_dat_dst_data14; output [7:0] sc2mac_dat_dst_data15; output [7:0] sc2mac_dat_dst_data16; output [7:0] sc2mac_dat_dst_data17; output [7:0] sc2mac_dat_dst_data18; output [7:0] sc2mac_dat_dst_data19; output [7:0] sc2mac_dat_dst_data20; output [7:0] sc2mac_dat_dst_data21; output [7:0] sc2mac_dat_dst_data22; output [7:0] sc2mac_dat_dst_data23; output [7:0] sc2mac_dat_dst_data24; output [7:0] sc2mac_dat_dst_data25; output [7:0] sc2mac_dat_dst_data26; output [7:0] sc2mac_dat_dst_data27; output [7:0] sc2mac_dat_dst_data28; output [7:0] sc2mac_dat_dst_data29; output [7:0] sc2mac_dat_dst_data30; output [7:0] sc2mac_dat_dst_data31; output [7:0] sc2mac_dat_dst_data32; output [7:0] sc2mac_dat_dst_data33; output [7:0] sc2mac_dat_dst_data34; output [7:0] sc2mac_dat_dst_data35; output [7:0] sc2mac_dat_dst_data36; output [7:0] sc2mac_dat_dst_data37; output [7:0] sc2mac_dat_dst_data38; output [7:0] sc2mac_dat_dst_data39; output [7:0] sc2mac_dat_dst_data40; output [7:0] sc2mac_dat_dst_data41; output [7:0] sc2mac_dat_dst_data42; output [7:0] sc2mac_dat_dst_data43; output [7:0] sc2mac_dat_dst_data44; output [7:0] sc2mac_dat_dst_data45; output [7:0] sc2mac_dat_dst_data46; output [7:0] sc2mac_dat_dst_data47; output [7:0] sc2mac_dat_dst_data48; output [7:0] sc2mac_dat_dst_data49; output [7:0] sc2mac_dat_dst_data50; output [7:0] sc2mac_dat_dst_data51; output [7:0] sc2mac_dat_dst_data52; output [7:0] sc2mac_dat_dst_data53; output [7:0] sc2mac_dat_dst_data54; output [7:0] sc2mac_dat_dst_data55; output [7:0] sc2mac_dat_dst_data56; output [7:0] sc2mac_dat_dst_data57; output [7:0] sc2mac_dat_dst_data58; output [7:0] sc2mac_dat_dst_data59; output [7:0] sc2mac_dat_dst_data60; output [7:0] sc2mac_dat_dst_data61; output [7:0] sc2mac_dat_dst_data62; output [7:0] sc2mac_dat_dst_data63; output [7:0] sc2mac_dat_dst_data64; output [7:0] sc2mac_dat_dst_data65; output [7:0] sc2mac_dat_dst_data66; output [7:0] sc2mac_dat_dst_data67; output [7:0] sc2mac_dat_dst_data68; output [7:0] sc2mac_dat_dst_data69; output [7:0] sc2mac_dat_dst_data70; output [7:0] sc2mac_dat_dst_data71; output [7:0] sc2mac_dat_dst_data72; output [7:0] sc2mac_dat_dst_data73; output [7:0] sc2mac_dat_dst_data74; output [7:0] sc2mac_dat_dst_data75; output [7:0] sc2mac_dat_dst_data76; output [7:0] sc2mac_dat_dst_data77; output [7:0] sc2mac_dat_dst_data78; output [7:0] sc2mac_dat_dst_data79; output [7:0] sc2mac_dat_dst_data80; output [7:0] sc2mac_dat_dst_data81; output [7:0] sc2mac_dat_dst_data82; output [7:0] sc2mac_dat_dst_data83; output [7:0] sc2mac_dat_dst_data84; output [7:0] sc2mac_dat_dst_data85; output [7:0] sc2mac_dat_dst_data86; output [7:0] sc2mac_dat_dst_data87; output [7:0] sc2mac_dat_dst_data88; output [7:0] sc2mac_dat_dst_data89; output [7:0] sc2mac_dat_dst_data90; output [7:0] sc2mac_dat_dst_data91; output [7:0] sc2mac_dat_dst_data92; output [7:0] sc2mac_dat_dst_data93; output [7:0] sc2mac_dat_dst_data94; output [7:0] sc2mac_dat_dst_data95; output [7:0] sc2mac_dat_dst_data96; output [7:0] sc2mac_dat_dst_data97; output [7:0] sc2mac_dat_dst_data98; output [7:0] sc2mac_dat_dst_data99; output [7:0] sc2mac_dat_dst_data100; output [7:0] sc2mac_dat_dst_data101; output [7:0] sc2mac_dat_dst_data102; output [7:0] sc2mac_dat_dst_data103; output [7:0] sc2mac_dat_dst_data104; output [7:0] sc2mac_dat_dst_data105; output [7:0] sc2mac_dat_dst_data106; output [7:0] sc2mac_dat_dst_data107; output [7:0] sc2mac_dat_dst_data108; output [7:0] sc2mac_dat_dst_data109; output [7:0] sc2mac_dat_dst_data110; output [7:0] sc2mac_dat_dst_data111; output [7:0] sc2mac_dat_dst_data112; output [7:0] sc2mac_dat_dst_data113; output [7:0] sc2mac_dat_dst_data114; output [7:0] sc2mac_dat_dst_data115; output [7:0] sc2mac_dat_dst_data116; output [7:0] sc2mac_dat_dst_data117; output [7:0] sc2mac_dat_dst_data118; output [7:0] sc2mac_dat_dst_data119; output [7:0] sc2mac_dat_dst_data120; output [7:0] sc2mac_dat_dst_data121; output [7:0] sc2mac_dat_dst_data122; output [7:0] sc2mac_dat_dst_data123; output [7:0] sc2mac_dat_dst_data124; output [7:0] sc2mac_dat_dst_data125; output [7:0] sc2mac_dat_dst_data126; output [7:0] sc2mac_dat_dst_data127; output [8:0] sc2mac_dat_dst_pd; wire [7:0] sc2mac_dat_data0_d0; wire [7:0] sc2mac_dat_data100_d0; wire [7:0] sc2mac_dat_data101_d0; wire [7:0] sc2mac_dat_data102_d0; wire [7:0] sc2mac_dat_data103_d0; wire [7:0] sc2mac_dat_data104_d0; wire [7:0] sc2mac_dat_data105_d0; wire [7:0] sc2mac_dat_data106_d0; wire [7:0] sc2mac_dat_data107_d0; wire [7:0] sc2mac_dat_data108_d0; wire [7:0] sc2mac_dat_data109_d0; wire [7:0] sc2mac_dat_data10_d0; wire [7:0] sc2mac_dat_data110_d0; wire [7:0] sc2mac_dat_data111_d0; wire [7:0] sc2mac_dat_data112_d0; wire [7:0] sc2mac_dat_data113_d0; wire [7:0] sc2mac_dat_data114_d0; wire [7:0] sc2mac_dat_data115_d0; wire [7:0] sc2mac_dat_data116_d0; wire [7:0] sc2mac_dat_data117_d0; wire [7:0] sc2mac_dat_data118_d0; wire [7:0] sc2mac_dat_data119_d0; wire [7:0] sc2mac_dat_data11_d0; wire [7:0] sc2mac_dat_data120_d0; wire [7:0] sc2mac_dat_data121_d0; wire [7:0] sc2mac_dat_data122_d0; wire [7:0] sc2mac_dat_data123_d0; wire [7:0] sc2mac_dat_data124_d0; wire [7:0] sc2mac_dat_data125_d0; wire [7:0] sc2mac_dat_data126_d0; wire [7:0] sc2mac_dat_data127_d0; wire [7:0] sc2mac_dat_data12_d0; wire [7:0] sc2mac_dat_data13_d0; wire [7:0] sc2mac_dat_data14_d0; wire [7:0] sc2mac_dat_data15_d0; wire [7:0] sc2mac_dat_data16_d0; wire [7:0] sc2mac_dat_data17_d0; wire [7:0] sc2mac_dat_data18_d0; wire [7:0] sc2mac_dat_data19_d0; wire [7:0] sc2mac_dat_data1_d0; wire [7:0] sc2mac_dat_data20_d0; wire [7:0] sc2mac_dat_data21_d0; wire [7:0] sc2mac_dat_data22_d0; wire [7:0] sc2mac_dat_data23_d0; wire [7:0] sc2mac_dat_data24_d0; wire [7:0] sc2mac_dat_data25_d0; wire [7:0] sc2mac_dat_data26_d0; wire [7:0] sc2mac_dat_data27_d0; wire [7:0] sc2mac_dat_data28_d0; wire [7:0] sc2mac_dat_data29_d0; wire [7:0] sc2mac_dat_data2_d0; wire [7:0] sc2mac_dat_data30_d0; wire [7:0] sc2mac_dat_data31_d0; wire [7:0] sc2mac_dat_data32_d0; wire [7:0] sc2mac_dat_data33_d0; wire [7:0] sc2mac_dat_data34_d0; wire [7:0] sc2mac_dat_data35_d0; wire [7:0] sc2mac_dat_data36_d0; wire [7:0] sc2mac_dat_data37_d0; wire [7:0] sc2mac_dat_data38_d0; wire [7:0] sc2mac_dat_data39_d0; wire [7:0] sc2mac_dat_data3_d0; wire [7:0] sc2mac_dat_data40_d0; wire [7:0] sc2mac_dat_data41_d0; wire [7:0] sc2mac_dat_data42_d0; wire [7:0] sc2mac_dat_data43_d0; wire [7:0] sc2mac_dat_data44_d0; wire [7:0] sc2mac_dat_data45_d0; wire [7:0] sc2mac_dat_data46_d0; wire [7:0] sc2mac_dat_data47_d0; wire [7:0] sc2mac_dat_data48_d0; wire [7:0] sc2mac_dat_data49_d0; wire [7:0] sc2mac_dat_data4_d0; wire [7:0] sc2mac_dat_data50_d0; wire [7:0] sc2mac_dat_data51_d0; wire [7:0] sc2mac_dat_data52_d0; wire [7:0] sc2mac_dat_data53_d0; wire [7:0] sc2mac_dat_data54_d0; wire [7:0] sc2mac_dat_data55_d0; wire [7:0] sc2mac_dat_data56_d0; wire [7:0] sc2mac_dat_data57_d0; wire [7:0] sc2mac_dat_data58_d0; wire [7:0] sc2mac_dat_data59_d0; wire [7:0] sc2mac_dat_data5_d0; wire [7:0] sc2mac_dat_data60_d0; wire [7:0] sc2mac_dat_data61_d0; wire [7:0] sc2mac_dat_data62_d0; wire [7:0] sc2mac_dat_data63_d0; wire [7:0] sc2mac_dat_data64_d0; wire [7:0] sc2mac_dat_data65_d0; wire [7:0] sc2mac_dat_data66_d0; wire [7:0] sc2mac_dat_data67_d0; wire [7:0] sc2mac_dat_data68_d0; wire [7:0] sc2mac_dat_data69_d0; wire [7:0] sc2mac_dat_data6_d0; wire [7:0] sc2mac_dat_data70_d0; wire [7:0] sc2mac_dat_data71_d0; wire [7:0] sc2mac_dat_data72_d0; wire [7:0] sc2mac_dat_data73_d0; wire [7:0] sc2mac_dat_data74_d0; wire [7:0] sc2mac_dat_data75_d0; wire [7:0] sc2mac_dat_data76_d0; wire [7:0] sc2mac_dat_data77_d0; wire [7:0] sc2mac_dat_data78_d0; wire [7:0] sc2mac_dat_data79_d0; wire [7:0] sc2mac_dat_data7_d0; wire [7:0] sc2mac_dat_data80_d0; wire [7:0] sc2mac_dat_data81_d0; wire [7:0] sc2mac_dat_data82_d0; wire [7:0] sc2mac_dat_data83_d0; wire [7:0] sc2mac_dat_data84_d0; wire [7:0] sc2mac_dat_data85_d0; wire [7:0] sc2mac_dat_data86_d0; wire [7:0] sc2mac_dat_data87_d0; wire [7:0] sc2mac_dat_data88_d0; wire [7:0] sc2mac_dat_data89_d0; wire [7:0] sc2mac_dat_data8_d0; wire [7:0] sc2mac_dat_data90_d0; wire [7:0] sc2mac_dat_data91_d0; wire [7:0] sc2mac_dat_data92_d0; wire [7:0] sc2mac_dat_data93_d0; wire [7:0] sc2mac_dat_data94_d0; wire [7:0] sc2mac_dat_data95_d0; wire [7:0] sc2mac_dat_data96_d0; wire [7:0] sc2mac_dat_data97_d0; wire [7:0] sc2mac_dat_data98_d0; wire [7:0] sc2mac_dat_data99_d0; wire [7:0] sc2mac_dat_data9_d0; wire [127:0] sc2mac_dat_mask_d0; wire [8:0] sc2mac_dat_pd_d0; wire sc2mac_dat_pvld_d0; wire [7:0] sc2mac_wt_data0_d0; wire [7:0] sc2mac_wt_data100_d0; wire [7:0] sc2mac_wt_data101_d0; wire [7:0] sc2mac_wt_data102_d0; wire [7:0] sc2mac_wt_data103_d0; wire [7:0] sc2mac_wt_data104_d0; wire [7:0] sc2mac_wt_data105_d0; wire [7:0] sc2mac_wt_data106_d0; wire [7:0] sc2mac_wt_data107_d0; wire [7:0] sc2mac_wt_data108_d0; wire [7:0] sc2mac_wt_data109_d0; wire [7:0] sc2mac_wt_data10_d0; wire [7:0] sc2mac_wt_data110_d0; wire [7:0] sc2mac_wt_data111_d0; wire [7:0] sc2mac_wt_data112_d0; wire [7:0] sc2mac_wt_data113_d0; wire [7:0] sc2mac_wt_data114_d0; wire [7:0] sc2mac_wt_data115_d0; wire [7:0] sc2mac_wt_data116_d0; wire [7:0] sc2mac_wt_data117_d0; wire [7:0] sc2mac_wt_data118_d0; wire [7:0] sc2mac_wt_data119_d0; wire [7:0] sc2mac_wt_data11_d0; wire [7:0] sc2mac_wt_data120_d0; wire [7:0] sc2mac_wt_data121_d0; wire [7:0] sc2mac_wt_data122_d0; wire [7:0] sc2mac_wt_data123_d0; wire [7:0] sc2mac_wt_data124_d0; wire [7:0] sc2mac_wt_data125_d0; wire [7:0] sc2mac_wt_data126_d0; wire [7:0] sc2mac_wt_data127_d0; wire [7:0] sc2mac_wt_data12_d0; wire [7:0] sc2mac_wt_data13_d0; wire [7:0] sc2mac_wt_data14_d0; wire [7:0] sc2mac_wt_data15_d0; wire [7:0] sc2mac_wt_data16_d0; wire [7:0] sc2mac_wt_data17_d0; wire [7:0] sc2mac_wt_data18_d0; wire [7:0] sc2mac_wt_data19_d0; wire [7:0] sc2mac_wt_data1_d0; wire [7:0] sc2mac_wt_data20_d0; wire [7:0] sc2mac_wt_data21_d0; wire [7:0] sc2mac_wt_data22_d0; wire [7:0] sc2mac_wt_data23_d0; wire [7:0] sc2mac_wt_data24_d0; wire [7:0] sc2mac_wt_data25_d0; wire [7:0] sc2mac_wt_data26_d0; wire [7:0] sc2mac_wt_data27_d0; wire [7:0] sc2mac_wt_data28_d0; wire [7:0] sc2mac_wt_data29_d0; wire [7:0] sc2mac_wt_data2_d0; wire [7:0] sc2mac_wt_data30_d0; wire [7:0] sc2mac_wt_data31_d0; wire [7:0] sc2mac_wt_data32_d0; wire [7:0] sc2mac_wt_data33_d0; wire [7:0] sc2mac_wt_data34_d0; wire [7:0] sc2mac_wt_data35_d0; wire [7:0] sc2mac_wt_data36_d0; wire [7:0] sc2mac_wt_data37_d0; wire [7:0] sc2mac_wt_data38_d0; wire [7:0] sc2mac_wt_data39_d0; wire [7:0] sc2mac_wt_data3_d0; wire [7:0] sc2mac_wt_data40_d0; wire [7:0] sc2mac_wt_data41_d0; wire [7:0] sc2mac_wt_data42_d0; wire [7:0] sc2mac_wt_data43_d0; wire [7:0] sc2mac_wt_data44_d0; wire [7:0] sc2mac_wt_data45_d0; wire [7:0] sc2mac_wt_data46_d0; wire [7:0] sc2mac_wt_data47_d0; wire [7:0] sc2mac_wt_data48_d0; wire [7:0] sc2mac_wt_data49_d0; wire [7:0] sc2mac_wt_data4_d0; wire [7:0] sc2mac_wt_data50_d0; wire [7:0] sc2mac_wt_data51_d0; wire [7:0] sc2mac_wt_data52_d0; wire [7:0] sc2mac_wt_data53_d0; wire [7:0] sc2mac_wt_data54_d0; wire [7:0] sc2mac_wt_data55_d0; wire [7:0] sc2mac_wt_data56_d0; wire [7:0] sc2mac_wt_data57_d0; wire [7:0] sc2mac_wt_data58_d0; wire [7:0] sc2mac_wt_data59_d0; wire [7:0] sc2mac_wt_data5_d0; wire [7:0] sc2mac_wt_data60_d0; wire [7:0] sc2mac_wt_data61_d0; wire [7:0] sc2mac_wt_data62_d0; wire [7:0] sc2mac_wt_data63_d0; wire [7:0] sc2mac_wt_data64_d0; wire [7:0] sc2mac_wt_data65_d0; wire [7:0] sc2mac_wt_data66_d0; wire [7:0] sc2mac_wt_data67_d0; wire [7:0] sc2mac_wt_data68_d0; wire [7:0] sc2mac_wt_data69_d0; wire [7:0] sc2mac_wt_data6_d0; wire [7:0] sc2mac_wt_data70_d0; wire [7:0] sc2mac_wt_data71_d0; wire [7:0] sc2mac_wt_data72_d0; wire [7:0] sc2mac_wt_data73_d0; wire [7:0] sc2mac_wt_data74_d0; wire [7:0] sc2mac_wt_data75_d0; wire [7:0] sc2mac_wt_data76_d0; wire [7:0] sc2mac_wt_data77_d0; wire [7:0] sc2mac_wt_data78_d0; wire [7:0] sc2mac_wt_data79_d0; wire [7:0] sc2mac_wt_data7_d0; wire [7:0] sc2mac_wt_data80_d0; wire [7:0] sc2mac_wt_data81_d0; wire [7:0] sc2mac_wt_data82_d0; wire [7:0] sc2mac_wt_data83_d0; wire [7:0] sc2mac_wt_data84_d0; wire [7:0] sc2mac_wt_data85_d0; wire [7:0] sc2mac_wt_data86_d0; wire [7:0] sc2mac_wt_data87_d0; wire [7:0] sc2mac_wt_data88_d0; wire [7:0] sc2mac_wt_data89_d0; wire [7:0] sc2mac_wt_data8_d0; wire [7:0] sc2mac_wt_data90_d0; wire [7:0] sc2mac_wt_data91_d0; wire [7:0] sc2mac_wt_data92_d0; wire [7:0] sc2mac_wt_data93_d0; wire [7:0] sc2mac_wt_data94_d0; wire [7:0] sc2mac_wt_data95_d0; wire [7:0] sc2mac_wt_data96_d0; wire [7:0] sc2mac_wt_data97_d0; wire [7:0] sc2mac_wt_data98_d0; wire [7:0] sc2mac_wt_data99_d0; wire [7:0] sc2mac_wt_data9_d0; wire [127:0] sc2mac_wt_mask_d0; wire sc2mac_wt_pvld_d0; wire [7:0] sc2mac_wt_sel_d0; reg [7:0] sc2mac_dat_data0_d1; reg [7:0] sc2mac_dat_data0_d2; reg [7:0] sc2mac_dat_data100_d1; reg [7:0] sc2mac_dat_data100_d2; reg [7:0] sc2mac_dat_data101_d1; reg [7:0] sc2mac_dat_data101_d2; reg [7:0] sc2mac_dat_data102_d1; reg [7:0] sc2mac_dat_data102_d2; reg [7:0] sc2mac_dat_data103_d1; reg [7:0] sc2mac_dat_data103_d2; reg [7:0] sc2mac_dat_data104_d1; reg [7:0] sc2mac_dat_data104_d2; reg [7:0] sc2mac_dat_data105_d1; reg [7:0] sc2mac_dat_data105_d2; reg [7:0] sc2mac_dat_data106_d1; reg [7:0] sc2mac_dat_data106_d2; reg [7:0] sc2mac_dat_data107_d1; reg [7:0] sc2mac_dat_data107_d2; reg [7:0] sc2mac_dat_data108_d1; reg [7:0] sc2mac_dat_data108_d2; reg [7:0] sc2mac_dat_data109_d1; reg [7:0] sc2mac_dat_data109_d2; reg [7:0] sc2mac_dat_data10_d1; reg [7:0] sc2mac_dat_data10_d2; reg [7:0] sc2mac_dat_data110_d1; reg [7:0] sc2mac_dat_data110_d2; reg [7:0] sc2mac_dat_data111_d1; reg [7:0] sc2mac_dat_data111_d2; reg [7:0] sc2mac_dat_data112_d1; reg [7:0] sc2mac_dat_data112_d2; reg [7:0] sc2mac_dat_data113_d1; reg [7:0] sc2mac_dat_data113_d2; reg [7:0] sc2mac_dat_data114_d1; reg [7:0] sc2mac_dat_data114_d2; reg [7:0] sc2mac_dat_data115_d1; reg [7:0] sc2mac_dat_data115_d2; reg [7:0] sc2mac_dat_data116_d1; reg [7:0] sc2mac_dat_data116_d2; reg [7:0] sc2mac_dat_data117_d1; reg [7:0] sc2mac_dat_data117_d2; reg [7:0] sc2mac_dat_data118_d1; reg [7:0] sc2mac_dat_data118_d2; reg [7:0] sc2mac_dat_data119_d1; reg [7:0] sc2mac_dat_data119_d2; reg [7:0] sc2mac_dat_data11_d1; reg [7:0] sc2mac_dat_data11_d2; reg [7:0] sc2mac_dat_data120_d1; reg [7:0] sc2mac_dat_data120_d2; reg [7:0] sc2mac_dat_data121_d1; reg [7:0] sc2mac_dat_data121_d2; reg [7:0] sc2mac_dat_data122_d1; reg [7:0] sc2mac_dat_data122_d2; reg [7:0] sc2mac_dat_data123_d1; reg [7:0] sc2mac_dat_data123_d2; reg [7:0] sc2mac_dat_data124_d1; reg [7:0] sc2mac_dat_data124_d2; reg [7:0] sc2mac_dat_data125_d1; reg [7:0] sc2mac_dat_data125_d2; reg [7:0] sc2mac_dat_data126_d1; reg [7:0] sc2mac_dat_data126_d2; reg [7:0] sc2mac_dat_data127_d1; reg [7:0] sc2mac_dat_data127_d2; reg [7:0] sc2mac_dat_data12_d1; reg [7:0] sc2mac_dat_data12_d2; reg [7:0] sc2mac_dat_data13_d1; reg [7:0] sc2mac_dat_data13_d2; reg [7:0] sc2mac_dat_data14_d1; reg [7:0] sc2mac_dat_data14_d2; reg [7:0] sc2mac_dat_data15_d1; reg [7:0] sc2mac_dat_data15_d2; reg [7:0] sc2mac_dat_data16_d1; reg [7:0] sc2mac_dat_data16_d2; reg [7:0] sc2mac_dat_data17_d1; reg [7:0] sc2mac_dat_data17_d2; reg [7:0] sc2mac_dat_data18_d1; reg [7:0] sc2mac_dat_data18_d2; reg [7:0] sc2mac_dat_data19_d1; reg [7:0] sc2mac_dat_data19_d2; reg [7:0] sc2mac_dat_data1_d1; reg [7:0] sc2mac_dat_data1_d2; reg [7:0] sc2mac_dat_data20_d1; reg [7:0] sc2mac_dat_data20_d2; reg [7:0] sc2mac_dat_data21_d1; reg [7:0] sc2mac_dat_data21_d2; reg [7:0] sc2mac_dat_data22_d1; reg [7:0] sc2mac_dat_data22_d2; reg [7:0] sc2mac_dat_data23_d1; reg [7:0] sc2mac_dat_data23_d2; reg [7:0] sc2mac_dat_data24_d1; reg [7:0] sc2mac_dat_data24_d2; reg [7:0] sc2mac_dat_data25_d1; reg [7:0] sc2mac_dat_data25_d2; reg [7:0] sc2mac_dat_data26_d1; reg [7:0] sc2mac_dat_data26_d2; reg [7:0] sc2mac_dat_data27_d1; reg [7:0] sc2mac_dat_data27_d2; reg [7:0] sc2mac_dat_data28_d1; reg [7:0] sc2mac_dat_data28_d2; reg [7:0] sc2mac_dat_data29_d1; reg [7:0] sc2mac_dat_data29_d2; reg [7:0] sc2mac_dat_data2_d1; reg [7:0] sc2mac_dat_data2_d2; reg [7:0] sc2mac_dat_data30_d1; reg [7:0] sc2mac_dat_data30_d2; reg [7:0] sc2mac_dat_data31_d1; reg [7:0] sc2mac_dat_data31_d2; reg [7:0] sc2mac_dat_data32_d1; reg [7:0] sc2mac_dat_data32_d2; reg [7:0] sc2mac_dat_data33_d1; reg [7:0] sc2mac_dat_data33_d2; reg [7:0] sc2mac_dat_data34_d1; reg [7:0] sc2mac_dat_data34_d2; reg [7:0] sc2mac_dat_data35_d1; reg [7:0] sc2mac_dat_data35_d2; reg [7:0] sc2mac_dat_data36_d1; reg [7:0] sc2mac_dat_data36_d2; reg [7:0] sc2mac_dat_data37_d1; reg [7:0] sc2mac_dat_data37_d2; reg [7:0] sc2mac_dat_data38_d1; reg [7:0] sc2mac_dat_data38_d2; reg [7:0] sc2mac_dat_data39_d1; reg [7:0] sc2mac_dat_data39_d2; reg [7:0] sc2mac_dat_data3_d1; reg [7:0] sc2mac_dat_data3_d2; reg [7:0] sc2mac_dat_data40_d1; reg [7:0] sc2mac_dat_data40_d2; reg [7:0] sc2mac_dat_data41_d1; reg [7:0] sc2mac_dat_data41_d2; reg [7:0] sc2mac_dat_data42_d1; reg [7:0] sc2mac_dat_data42_d2; reg [7:0] sc2mac_dat_data43_d1; reg [7:0] sc2mac_dat_data43_d2; reg [7:0] sc2mac_dat_data44_d1; reg [7:0] sc2mac_dat_data44_d2; reg [7:0] sc2mac_dat_data45_d1; reg [7:0] sc2mac_dat_data45_d2; reg [7:0] sc2mac_dat_data46_d1; reg [7:0] sc2mac_dat_data46_d2; reg [7:0] sc2mac_dat_data47_d1; reg [7:0] sc2mac_dat_data47_d2; reg [7:0] sc2mac_dat_data48_d1; reg [7:0] sc2mac_dat_data48_d2; reg [7:0] sc2mac_dat_data49_d1; reg [7:0] sc2mac_dat_data49_d2; reg [7:0] sc2mac_dat_data4_d1; reg [7:0] sc2mac_dat_data4_d2; reg [7:0] sc2mac_dat_data50_d1; reg [7:0] sc2mac_dat_data50_d2; reg [7:0] sc2mac_dat_data51_d1; reg [7:0] sc2mac_dat_data51_d2; reg [7:0] sc2mac_dat_data52_d1; reg [7:0] sc2mac_dat_data52_d2; reg [7:0] sc2mac_dat_data53_d1; reg [7:0] sc2mac_dat_data53_d2; reg [7:0] sc2mac_dat_data54_d1; reg [7:0] sc2mac_dat_data54_d2; reg [7:0] sc2mac_dat_data55_d1; reg [7:0] sc2mac_dat_data55_d2; reg [7:0] sc2mac_dat_data56_d1; reg [7:0] sc2mac_dat_data56_d2; reg [7:0] sc2mac_dat_data57_d1; reg [7:0] sc2mac_dat_data57_d2; reg [7:0] sc2mac_dat_data58_d1; reg [7:0] sc2mac_dat_data58_d2; reg [7:0] sc2mac_dat_data59_d1; reg [7:0] sc2mac_dat_data59_d2; reg [7:0] sc2mac_dat_data5_d1; reg [7:0] sc2mac_dat_data5_d2; reg [7:0] sc2mac_dat_data60_d1; reg [7:0] sc2mac_dat_data60_d2; reg [7:0] sc2mac_dat_data61_d1; reg [7:0] sc2mac_dat_data61_d2; reg [7:0] sc2mac_dat_data62_d1; reg [7:0] sc2mac_dat_data62_d2; reg [7:0] sc2mac_dat_data63_d1; reg [7:0] sc2mac_dat_data63_d2; reg [7:0] sc2mac_dat_data64_d1; reg [7:0] sc2mac_dat_data64_d2; reg [7:0] sc2mac_dat_data65_d1; reg [7:0] sc2mac_dat_data65_d2; reg [7:0] sc2mac_dat_data66_d1; reg [7:0] sc2mac_dat_data66_d2; reg [7:0] sc2mac_dat_data67_d1; reg [7:0] sc2mac_dat_data67_d2; reg [7:0] sc2mac_dat_data68_d1; reg [7:0] sc2mac_dat_data68_d2; reg [7:0] sc2mac_dat_data69_d1; reg [7:0] sc2mac_dat_data69_d2; reg [7:0] sc2mac_dat_data6_d1; reg [7:0] sc2mac_dat_data6_d2; reg [7:0] sc2mac_dat_data70_d1; reg [7:0] sc2mac_dat_data70_d2; reg [7:0] sc2mac_dat_data71_d1; reg [7:0] sc2mac_dat_data71_d2; reg [7:0] sc2mac_dat_data72_d1; reg [7:0] sc2mac_dat_data72_d2; reg [7:0] sc2mac_dat_data73_d1; reg [7:0] sc2mac_dat_data73_d2; reg [7:0] sc2mac_dat_data74_d1; reg [7:0] sc2mac_dat_data74_d2; reg [7:0] sc2mac_dat_data75_d1; reg [7:0] sc2mac_dat_data75_d2; reg [7:0] sc2mac_dat_data76_d1; reg [7:0] sc2mac_dat_data76_d2; reg [7:0] sc2mac_dat_data77_d1; reg [7:0] sc2mac_dat_data77_d2; reg [7:0] sc2mac_dat_data78_d1; reg [7:0] sc2mac_dat_data78_d2; reg [7:0] sc2mac_dat_data79_d1; reg [7:0] sc2mac_dat_data79_d2; reg [7:0] sc2mac_dat_data7_d1; reg [7:0] sc2mac_dat_data7_d2; reg [7:0] sc2mac_dat_data80_d1; reg [7:0] sc2mac_dat_data80_d2; reg [7:0] sc2mac_dat_data81_d1; reg [7:0] sc2mac_dat_data81_d2; reg [7:0] sc2mac_dat_data82_d1; reg [7:0] sc2mac_dat_data82_d2; reg [7:0] sc2mac_dat_data83_d1; reg [7:0] sc2mac_dat_data83_d2; reg [7:0] sc2mac_dat_data84_d1; reg [7:0] sc2mac_dat_data84_d2; reg [7:0] sc2mac_dat_data85_d1; reg [7:0] sc2mac_dat_data85_d2; reg [7:0] sc2mac_dat_data86_d1; reg [7:0] sc2mac_dat_data86_d2; reg [7:0] sc2mac_dat_data87_d1; reg [7:0] sc2mac_dat_data87_d2; reg [7:0] sc2mac_dat_data88_d1; reg [7:0] sc2mac_dat_data88_d2; reg [7:0] sc2mac_dat_data89_d1; reg [7:0] sc2mac_dat_data89_d2; reg [7:0] sc2mac_dat_data8_d1; reg [7:0] sc2mac_dat_data8_d2; reg [7:0] sc2mac_dat_data90_d1; reg [7:0] sc2mac_dat_data90_d2; reg [7:0] sc2mac_dat_data91_d1; reg [7:0] sc2mac_dat_data91_d2; reg [7:0] sc2mac_dat_data92_d1; reg [7:0] sc2mac_dat_data92_d2; reg [7:0] sc2mac_dat_data93_d1; reg [7:0] sc2mac_dat_data93_d2; reg [7:0] sc2mac_dat_data94_d1; reg [7:0] sc2mac_dat_data94_d2; reg [7:0] sc2mac_dat_data95_d1; reg [7:0] sc2mac_dat_data95_d2; reg [7:0] sc2mac_dat_data96_d1; reg [7:0] sc2mac_dat_data96_d2; reg [7:0] sc2mac_dat_data97_d1; reg [7:0] sc2mac_dat_data97_d2; reg [7:0] sc2mac_dat_data98_d1; reg [7:0] sc2mac_dat_data98_d2; reg [7:0] sc2mac_dat_data99_d1; reg [7:0] sc2mac_dat_data99_d2; reg [7:0] sc2mac_dat_data9_d1; reg [7:0] sc2mac_dat_data9_d2; reg [127:0] sc2mac_dat_mask_d1; reg [127:0] sc2mac_dat_mask_d2; reg [8:0] sc2mac_dat_pd_d1; reg [8:0] sc2mac_dat_pd_d2; reg sc2mac_dat_pvld_d1; reg sc2mac_dat_pvld_d2; reg [7:0] sc2mac_wt_data0_d1; reg [7:0] sc2mac_wt_data0_d2; reg [7:0] sc2mac_wt_data100_d1; reg [7:0] sc2mac_wt_data100_d2; reg [7:0] sc2mac_wt_data101_d1; reg [7:0] sc2mac_wt_data101_d2; reg [7:0] sc2mac_wt_data102_d1; reg [7:0] sc2mac_wt_data102_d2; reg [7:0] sc2mac_wt_data103_d1; reg [7:0] sc2mac_wt_data103_d2; reg [7:0] sc2mac_wt_data104_d1; reg [7:0] sc2mac_wt_data104_d2; reg [7:0] sc2mac_wt_data105_d1; reg [7:0] sc2mac_wt_data105_d2; reg [7:0] sc2mac_wt_data106_d1; reg [7:0] sc2mac_wt_data106_d2; reg [7:0] sc2mac_wt_data107_d1; reg [7:0] sc2mac_wt_data107_d2; reg [7:0] sc2mac_wt_data108_d1; reg [7:0] sc2mac_wt_data108_d2; reg [7:0] sc2mac_wt_data109_d1; reg [7:0] sc2mac_wt_data109_d2; reg [7:0] sc2mac_wt_data10_d1; reg [7:0] sc2mac_wt_data10_d2; reg [7:0] sc2mac_wt_data110_d1; reg [7:0] sc2mac_wt_data110_d2; reg [7:0] sc2mac_wt_data111_d1; reg [7:0] sc2mac_wt_data111_d2; reg [7:0] sc2mac_wt_data112_d1; reg [7:0] sc2mac_wt_data112_d2; reg [7:0] sc2mac_wt_data113_d1; reg [7:0] sc2mac_wt_data113_d2; reg [7:0] sc2mac_wt_data114_d1; reg [7:0] sc2mac_wt_data114_d2; reg [7:0] sc2mac_wt_data115_d1; reg [7:0] sc2mac_wt_data115_d2; reg [7:0] sc2mac_wt_data116_d1; reg [7:0] sc2mac_wt_data116_d2; reg [7:0] sc2mac_wt_data117_d1; reg [7:0] sc2mac_wt_data117_d2; reg [7:0] sc2mac_wt_data118_d1; reg [7:0] sc2mac_wt_data118_d2; reg [7:0] sc2mac_wt_data119_d1; reg [7:0] sc2mac_wt_data119_d2; reg [7:0] sc2mac_wt_data11_d1; reg [7:0] sc2mac_wt_data11_d2; reg [7:0] sc2mac_wt_data120_d1; reg [7:0] sc2mac_wt_data120_d2; reg [7:0] sc2mac_wt_data121_d1; reg [7:0] sc2mac_wt_data121_d2; reg [7:0] sc2mac_wt_data122_d1; reg [7:0] sc2mac_wt_data122_d2; reg [7:0] sc2mac_wt_data123_d1; reg [7:0] sc2mac_wt_data123_d2; reg [7:0] sc2mac_wt_data124_d1; reg [7:0] sc2mac_wt_data124_d2; reg [7:0] sc2mac_wt_data125_d1; reg [7:0] sc2mac_wt_data125_d2; reg [7:0] sc2mac_wt_data126_d1; reg [7:0] sc2mac_wt_data126_d2; reg [7:0] sc2mac_wt_data127_d1; reg [7:0] sc2mac_wt_data127_d2; reg [7:0] sc2mac_wt_data12_d1; reg [7:0] sc2mac_wt_data12_d2; reg [7:0] sc2mac_wt_data13_d1; reg [7:0] sc2mac_wt_data13_d2; reg [7:0] sc2mac_wt_data14_d1; reg [7:0] sc2mac_wt_data14_d2; reg [7:0] sc2mac_wt_data15_d1; reg [7:0] sc2mac_wt_data15_d2; reg [7:0] sc2mac_wt_data16_d1; reg [7:0] sc2mac_wt_data16_d2; reg [7:0] sc2mac_wt_data17_d1; reg [7:0] sc2mac_wt_data17_d2; reg [7:0] sc2mac_wt_data18_d1; reg [7:0] sc2mac_wt_data18_d2; reg [7:0] sc2mac_wt_data19_d1; reg [7:0] sc2mac_wt_data19_d2; reg [7:0] sc2mac_wt_data1_d1; reg [7:0] sc2mac_wt_data1_d2; reg [7:0] sc2mac_wt_data20_d1; reg [7:0] sc2mac_wt_data20_d2; reg [7:0] sc2mac_wt_data21_d1; reg [7:0] sc2mac_wt_data21_d2; reg [7:0] sc2mac_wt_data22_d1; reg [7:0] sc2mac_wt_data22_d2; reg [7:0] sc2mac_wt_data23_d1; reg [7:0] sc2mac_wt_data23_d2; reg [7:0] sc2mac_wt_data24_d1; reg [7:0] sc2mac_wt_data24_d2; reg [7:0] sc2mac_wt_data25_d1; reg [7:0] sc2mac_wt_data25_d2; reg [7:0] sc2mac_wt_data26_d1; reg [7:0] sc2mac_wt_data26_d2; reg [7:0] sc2mac_wt_data27_d1; reg [7:0] sc2mac_wt_data27_d2; reg [7:0] sc2mac_wt_data28_d1; reg [7:0] sc2mac_wt_data28_d2; reg [7:0] sc2mac_wt_data29_d1; reg [7:0] sc2mac_wt_data29_d2; reg [7:0] sc2mac_wt_data2_d1; reg [7:0] sc2mac_wt_data2_d2; reg [7:0] sc2mac_wt_data30_d1; reg [7:0] sc2mac_wt_data30_d2; reg [7:0] sc2mac_wt_data31_d1; reg [7:0] sc2mac_wt_data31_d2; reg [7:0] sc2mac_wt_data32_d1; reg [7:0] sc2mac_wt_data32_d2; reg [7:0] sc2mac_wt_data33_d1; reg [7:0] sc2mac_wt_data33_d2; reg [7:0] sc2mac_wt_data34_d1; reg [7:0] sc2mac_wt_data34_d2; reg [7:0] sc2mac_wt_data35_d1; reg [7:0] sc2mac_wt_data35_d2; reg [7:0] sc2mac_wt_data36_d1; reg [7:0] sc2mac_wt_data36_d2; reg [7:0] sc2mac_wt_data37_d1; reg [7:0] sc2mac_wt_data37_d2; reg [7:0] sc2mac_wt_data38_d1; reg [7:0] sc2mac_wt_data38_d2; reg [7:0] sc2mac_wt_data39_d1; reg [7:0] sc2mac_wt_data39_d2; reg [7:0] sc2mac_wt_data3_d1; reg [7:0] sc2mac_wt_data3_d2; reg [7:0] sc2mac_wt_data40_d1; reg [7:0] sc2mac_wt_data40_d2; reg [7:0] sc2mac_wt_data41_d1; reg [7:0] sc2mac_wt_data41_d2; reg [7:0] sc2mac_wt_data42_d1; reg [7:0] sc2mac_wt_data42_d2; reg [7:0] sc2mac_wt_data43_d1; reg [7:0] sc2mac_wt_data43_d2; reg [7:0] sc2mac_wt_data44_d1; reg [7:0] sc2mac_wt_data44_d2; reg [7:0] sc2mac_wt_data45_d1; reg [7:0] sc2mac_wt_data45_d2; reg [7:0] sc2mac_wt_data46_d1; reg [7:0] sc2mac_wt_data46_d2; reg [7:0] sc2mac_wt_data47_d1; reg [7:0] sc2mac_wt_data47_d2; reg [7:0] sc2mac_wt_data48_d1; reg [7:0] sc2mac_wt_data48_d2; reg [7:0] sc2mac_wt_data49_d1; reg [7:0] sc2mac_wt_data49_d2; reg [7:0] sc2mac_wt_data4_d1; reg [7:0] sc2mac_wt_data4_d2; reg [7:0] sc2mac_wt_data50_d1; reg [7:0] sc2mac_wt_data50_d2; reg [7:0] sc2mac_wt_data51_d1; reg [7:0] sc2mac_wt_data51_d2; reg [7:0] sc2mac_wt_data52_d1; reg [7:0] sc2mac_wt_data52_d2; reg [7:0] sc2mac_wt_data53_d1; reg [7:0] sc2mac_wt_data53_d2; reg [7:0] sc2mac_wt_data54_d1; reg [7:0] sc2mac_wt_data54_d2; reg [7:0] sc2mac_wt_data55_d1; reg [7:0] sc2mac_wt_data55_d2; reg [7:0] sc2mac_wt_data56_d1; reg [7:0] sc2mac_wt_data56_d2; reg [7:0] sc2mac_wt_data57_d1; reg [7:0] sc2mac_wt_data57_d2; reg [7:0] sc2mac_wt_data58_d1; reg [7:0] sc2mac_wt_data58_d2; reg [7:0] sc2mac_wt_data59_d1; reg [7:0] sc2mac_wt_data59_d2; reg [7:0] sc2mac_wt_data5_d1; reg [7:0] sc2mac_wt_data5_d2; reg [7:0] sc2mac_wt_data60_d1; reg [7:0] sc2mac_wt_data60_d2; reg [7:0] sc2mac_wt_data61_d1; reg [7:0] sc2mac_wt_data61_d2; reg [7:0] sc2mac_wt_data62_d1; reg [7:0] sc2mac_wt_data62_d2; reg [7:0] sc2mac_wt_data63_d1; reg [7:0] sc2mac_wt_data63_d2; reg [7:0] sc2mac_wt_data64_d1; reg [7:0] sc2mac_wt_data64_d2; reg [7:0] sc2mac_wt_data65_d1; reg [7:0] sc2mac_wt_data65_d2; reg [7:0] sc2mac_wt_data66_d1; reg [7:0] sc2mac_wt_data66_d2; reg [7:0] sc2mac_wt_data67_d1; reg [7:0] sc2mac_wt_data67_d2; reg [7:0] sc2mac_wt_data68_d1; reg [7:0] sc2mac_wt_data68_d2; reg [7:0] sc2mac_wt_data69_d1; reg [7:0] sc2mac_wt_data69_d2; reg [7:0] sc2mac_wt_data6_d1; reg [7:0] sc2mac_wt_data6_d2; reg [7:0] sc2mac_wt_data70_d1; reg [7:0] sc2mac_wt_data70_d2; reg [7:0] sc2mac_wt_data71_d1; reg [7:0] sc2mac_wt_data71_d2; reg [7:0] sc2mac_wt_data72_d1; reg [7:0] sc2mac_wt_data72_d2; reg [7:0] sc2mac_wt_data73_d1; reg [7:0] sc2mac_wt_data73_d2; reg [7:0] sc2mac_wt_data74_d1; reg [7:0] sc2mac_wt_data74_d2; reg [7:0] sc2mac_wt_data75_d1; reg [7:0] sc2mac_wt_data75_d2; reg [7:0] sc2mac_wt_data76_d1; reg [7:0] sc2mac_wt_data76_d2; reg [7:0] sc2mac_wt_data77_d1; reg [7:0] sc2mac_wt_data77_d2; reg [7:0] sc2mac_wt_data78_d1; reg [7:0] sc2mac_wt_data78_d2; reg [7:0] sc2mac_wt_data79_d1; reg [7:0] sc2mac_wt_data79_d2; reg [7:0] sc2mac_wt_data7_d1; reg [7:0] sc2mac_wt_data7_d2; reg [7:0] sc2mac_wt_data80_d1; reg [7:0] sc2mac_wt_data80_d2; reg [7:0] sc2mac_wt_data81_d1; reg [7:0] sc2mac_wt_data81_d2; reg [7:0] sc2mac_wt_data82_d1; reg [7:0] sc2mac_wt_data82_d2; reg [7:0] sc2mac_wt_data83_d1; reg [7:0] sc2mac_wt_data83_d2; reg [7:0] sc2mac_wt_data84_d1; reg [7:0] sc2mac_wt_data84_d2; reg [7:0] sc2mac_wt_data85_d1; reg [7:0] sc2mac_wt_data85_d2; reg [7:0] sc2mac_wt_data86_d1; reg [7:0] sc2mac_wt_data86_d2; reg [7:0] sc2mac_wt_data87_d1; reg [7:0] sc2mac_wt_data87_d2; reg [7:0] sc2mac_wt_data88_d1; reg [7:0] sc2mac_wt_data88_d2; reg [7:0] sc2mac_wt_data89_d1; reg [7:0] sc2mac_wt_data89_d2; reg [7:0] sc2mac_wt_data8_d1; reg [7:0] sc2mac_wt_data8_d2; reg [7:0] sc2mac_wt_data90_d1; reg [7:0] sc2mac_wt_data90_d2; reg [7:0] sc2mac_wt_data91_d1; reg [7:0] sc2mac_wt_data91_d2; reg [7:0] sc2mac_wt_data92_d1; reg [7:0] sc2mac_wt_data92_d2; reg [7:0] sc2mac_wt_data93_d1; reg [7:0] sc2mac_wt_data93_d2; reg [7:0] sc2mac_wt_data94_d1; reg [7:0] sc2mac_wt_data94_d2; reg [7:0] sc2mac_wt_data95_d1; reg [7:0] sc2mac_wt_data95_d2; reg [7:0] sc2mac_wt_data96_d1; reg [7:0] sc2mac_wt_data96_d2; reg [7:0] sc2mac_wt_data97_d1; reg [7:0] sc2mac_wt_data97_d2; reg [7:0] sc2mac_wt_data98_d1; reg [7:0] sc2mac_wt_data98_d2; reg [7:0] sc2mac_wt_data99_d1; reg [7:0] sc2mac_wt_data99_d2; reg [7:0] sc2mac_wt_data9_d1; reg [7:0] sc2mac_wt_data9_d2; reg [127:0] sc2mac_wt_mask_d1; reg [127:0] sc2mac_wt_mask_d2; reg sc2mac_wt_pvld_d1; reg sc2mac_wt_pvld_d2; reg [7:0] sc2mac_wt_sel_d1; reg [7:0] sc2mac_wt_sel_d2; assign sc2mac_wt_pvld_d0 = sc2mac_wt_src_pvld; assign sc2mac_wt_sel_d0 = sc2mac_wt_src_sel; assign sc2mac_wt_mask_d0 = sc2mac_wt_src_mask; assign sc2mac_wt_data0_d0 = sc2mac_wt_src_data0; assign sc2mac_wt_data1_d0 = sc2mac_wt_src_data1; assign sc2mac_wt_data2_d0 = sc2mac_wt_src_data2; assign sc2mac_wt_data3_d0 = sc2mac_wt_src_data3; assign sc2mac_wt_data4_d0 = sc2mac_wt_src_data4; assign sc2mac_wt_data5_d0 = sc2mac_wt_src_data5; assign sc2mac_wt_data6_d0 = sc2mac_wt_src_data6; assign sc2mac_wt_data7_d0 = sc2mac_wt_src_data7; assign sc2mac_wt_data8_d0 = sc2mac_wt_src_data8; assign sc2mac_wt_data9_d0 = sc2mac_wt_src_data9; assign sc2mac_wt_data10_d0 = sc2mac_wt_src_data10; assign sc2mac_wt_data11_d0 = sc2mac_wt_src_data11; assign sc2mac_wt_data12_d0 = sc2mac_wt_src_data12; assign sc2mac_wt_data13_d0 = sc2mac_wt_src_data13; assign sc2mac_wt_data14_d0 = sc2mac_wt_src_data14; assign sc2mac_wt_data15_d0 = sc2mac_wt_src_data15; assign sc2mac_wt_data16_d0 = sc2mac_wt_src_data16; assign sc2mac_wt_data17_d0 = sc2mac_wt_src_data17; assign sc2mac_wt_data18_d0 = sc2mac_wt_src_data18; assign sc2mac_wt_data19_d0 = sc2mac_wt_src_data19; assign sc2mac_wt_data20_d0 = sc2mac_wt_src_data20; assign sc2mac_wt_data21_d0 = sc2mac_wt_src_data21; assign sc2mac_wt_data22_d0 = sc2mac_wt_src_data22; assign sc2mac_wt_data23_d0 = sc2mac_wt_src_data23; assign sc2mac_wt_data24_d0 = sc2mac_wt_src_data24; assign sc2mac_wt_data25_d0 = sc2mac_wt_src_data25; assign sc2mac_wt_data26_d0 = sc2mac_wt_src_data26; assign sc2mac_wt_data27_d0 = sc2mac_wt_src_data27; assign sc2mac_wt_data28_d0 = sc2mac_wt_src_data28; assign sc2mac_wt_data29_d0 = sc2mac_wt_src_data29; assign sc2mac_wt_data30_d0 = sc2mac_wt_src_data30; assign sc2mac_wt_data31_d0 = sc2mac_wt_src_data31; assign sc2mac_wt_data32_d0 = sc2mac_wt_src_data32; assign sc2mac_wt_data33_d0 = sc2mac_wt_src_data33; assign sc2mac_wt_data34_d0 = sc2mac_wt_src_data34; assign sc2mac_wt_data35_d0 = sc2mac_wt_src_data35; assign sc2mac_wt_data36_d0 = sc2mac_wt_src_data36; assign sc2mac_wt_data37_d0 = sc2mac_wt_src_data37; assign sc2mac_wt_data38_d0 = sc2mac_wt_src_data38; assign sc2mac_wt_data39_d0 = sc2mac_wt_src_data39; assign sc2mac_wt_data40_d0 = sc2mac_wt_src_data40; assign sc2mac_wt_data41_d0 = sc2mac_wt_src_data41; assign sc2mac_wt_data42_d0 = sc2mac_wt_src_data42; assign sc2mac_wt_data43_d0 = sc2mac_wt_src_data43; assign sc2mac_wt_data44_d0 = sc2mac_wt_src_data44; assign sc2mac_wt_data45_d0 = sc2mac_wt_src_data45; assign sc2mac_wt_data46_d0 = sc2mac_wt_src_data46; assign sc2mac_wt_data47_d0 = sc2mac_wt_src_data47; assign sc2mac_wt_data48_d0 = sc2mac_wt_src_data48; assign sc2mac_wt_data49_d0 = sc2mac_wt_src_data49; assign sc2mac_wt_data50_d0 = sc2mac_wt_src_data50; assign sc2mac_wt_data51_d0 = sc2mac_wt_src_data51; assign sc2mac_wt_data52_d0 = sc2mac_wt_src_data52; assign sc2mac_wt_data53_d0 = sc2mac_wt_src_data53; assign sc2mac_wt_data54_d0 = sc2mac_wt_src_data54; assign sc2mac_wt_data55_d0 = sc2mac_wt_src_data55; assign sc2mac_wt_data56_d0 = sc2mac_wt_src_data56; assign sc2mac_wt_data57_d0 = sc2mac_wt_src_data57; assign sc2mac_wt_data58_d0 = sc2mac_wt_src_data58; assign sc2mac_wt_data59_d0 = sc2mac_wt_src_data59; assign sc2mac_wt_data60_d0 = sc2mac_wt_src_data60; assign sc2mac_wt_data61_d0 = sc2mac_wt_src_data61; assign sc2mac_wt_data62_d0 = sc2mac_wt_src_data62; assign sc2mac_wt_data63_d0 = sc2mac_wt_src_data63; assign sc2mac_wt_data64_d0 = sc2mac_wt_src_data64; assign sc2mac_wt_data65_d0 = sc2mac_wt_src_data65; assign sc2mac_wt_data66_d0 = sc2mac_wt_src_data66; assign sc2mac_wt_data67_d0 = sc2mac_wt_src_data67; assign sc2mac_wt_data68_d0 = sc2mac_wt_src_data68; assign sc2mac_wt_data69_d0 = sc2mac_wt_src_data69; assign sc2mac_wt_data70_d0 = sc2mac_wt_src_data70; assign sc2mac_wt_data71_d0 = sc2mac_wt_src_data71; assign sc2mac_wt_data72_d0 = sc2mac_wt_src_data72; assign sc2mac_wt_data73_d0 = sc2mac_wt_src_data73; assign sc2mac_wt_data74_d0 = sc2mac_wt_src_data74; assign sc2mac_wt_data75_d0 = sc2mac_wt_src_data75; assign sc2mac_wt_data76_d0 = sc2mac_wt_src_data76; assign sc2mac_wt_data77_d0 = sc2mac_wt_src_data77; assign sc2mac_wt_data78_d0 = sc2mac_wt_src_data78; assign sc2mac_wt_data79_d0 = sc2mac_wt_src_data79; assign sc2mac_wt_data80_d0 = sc2mac_wt_src_data80; assign sc2mac_wt_data81_d0 = sc2mac_wt_src_data81; assign sc2mac_wt_data82_d0 = sc2mac_wt_src_data82; assign sc2mac_wt_data83_d0 = sc2mac_wt_src_data83; assign sc2mac_wt_data84_d0 = sc2mac_wt_src_data84; assign sc2mac_wt_data85_d0 = sc2mac_wt_src_data85; assign sc2mac_wt_data86_d0 = sc2mac_wt_src_data86; assign sc2mac_wt_data87_d0 = sc2mac_wt_src_data87; assign sc2mac_wt_data88_d0 = sc2mac_wt_src_data88; assign sc2mac_wt_data89_d0 = sc2mac_wt_src_data89; assign sc2mac_wt_data90_d0 = sc2mac_wt_src_data90; assign sc2mac_wt_data91_d0 = sc2mac_wt_src_data91; assign sc2mac_wt_data92_d0 = sc2mac_wt_src_data92; assign sc2mac_wt_data93_d0 = sc2mac_wt_src_data93; assign sc2mac_wt_data94_d0 = sc2mac_wt_src_data94; assign sc2mac_wt_data95_d0 = sc2mac_wt_src_data95; assign sc2mac_wt_data96_d0 = sc2mac_wt_src_data96; assign sc2mac_wt_data97_d0 = sc2mac_wt_src_data97; assign sc2mac_wt_data98_d0 = sc2mac_wt_src_data98; assign sc2mac_wt_data99_d0 = sc2mac_wt_src_data99; assign sc2mac_wt_data100_d0 = sc2mac_wt_src_data100; assign sc2mac_wt_data101_d0 = sc2mac_wt_src_data101; assign sc2mac_wt_data102_d0 = sc2mac_wt_src_data102; assign sc2mac_wt_data103_d0 = sc2mac_wt_src_data103; assign sc2mac_wt_data104_d0 = sc2mac_wt_src_data104; assign sc2mac_wt_data105_d0 = sc2mac_wt_src_data105; assign sc2mac_wt_data106_d0 = sc2mac_wt_src_data106; assign sc2mac_wt_data107_d0 = sc2mac_wt_src_data107; assign sc2mac_wt_data108_d0 = sc2mac_wt_src_data108; assign sc2mac_wt_data109_d0 = sc2mac_wt_src_data109; assign sc2mac_wt_data110_d0 = sc2mac_wt_src_data110; assign sc2mac_wt_data111_d0 = sc2mac_wt_src_data111; assign sc2mac_wt_data112_d0 = sc2mac_wt_src_data112; assign sc2mac_wt_data113_d0 = sc2mac_wt_src_data113; assign sc2mac_wt_data114_d0 = sc2mac_wt_src_data114; assign sc2mac_wt_data115_d0 = sc2mac_wt_src_data115; assign sc2mac_wt_data116_d0 = sc2mac_wt_src_data116; assign sc2mac_wt_data117_d0 = sc2mac_wt_src_data117; assign sc2mac_wt_data118_d0 = sc2mac_wt_src_data118; assign sc2mac_wt_data119_d0 = sc2mac_wt_src_data119; assign sc2mac_wt_data120_d0 = sc2mac_wt_src_data120; assign sc2mac_wt_data121_d0 = sc2mac_wt_src_data121; assign sc2mac_wt_data122_d0 = sc2mac_wt_src_data122; assign sc2mac_wt_data123_d0 = sc2mac_wt_src_data123; assign sc2mac_wt_data124_d0 = sc2mac_wt_src_data124; assign sc2mac_wt_data125_d0 = sc2mac_wt_src_data125; assign sc2mac_wt_data126_d0 = sc2mac_wt_src_data126; assign sc2mac_wt_data127_d0 = sc2mac_wt_src_data127; assign sc2mac_dat_pvld_d0 = sc2mac_dat_src_pvld; assign sc2mac_dat_pd_d0 = sc2mac_dat_src_pd; assign sc2mac_dat_mask_d0 = sc2mac_dat_src_mask; assign sc2mac_dat_data0_d0 = sc2mac_dat_src_data0; assign sc2mac_dat_data1_d0 = sc2mac_dat_src_data1; assign sc2mac_dat_data2_d0 = sc2mac_dat_src_data2; assign sc2mac_dat_data3_d0 = sc2mac_dat_src_data3; assign sc2mac_dat_data4_d0 = sc2mac_dat_src_data4; assign sc2mac_dat_data5_d0 = sc2mac_dat_src_data5; assign sc2mac_dat_data6_d0 = sc2mac_dat_src_data6; assign sc2mac_dat_data7_d0 = sc2mac_dat_src_data7; assign sc2mac_dat_data8_d0 = sc2mac_dat_src_data8; assign sc2mac_dat_data9_d0 = sc2mac_dat_src_data9; assign sc2mac_dat_data10_d0 = sc2mac_dat_src_data10; assign sc2mac_dat_data11_d0 = sc2mac_dat_src_data11; assign sc2mac_dat_data12_d0 = sc2mac_dat_src_data12; assign sc2mac_dat_data13_d0 = sc2mac_dat_src_data13; assign sc2mac_dat_data14_d0 = sc2mac_dat_src_data14; assign sc2mac_dat_data15_d0 = sc2mac_dat_src_data15; assign sc2mac_dat_data16_d0 = sc2mac_dat_src_data16; assign sc2mac_dat_data17_d0 = sc2mac_dat_src_data17; assign sc2mac_dat_data18_d0 = sc2mac_dat_src_data18; assign sc2mac_dat_data19_d0 = sc2mac_dat_src_data19; assign sc2mac_dat_data20_d0 = sc2mac_dat_src_data20; assign sc2mac_dat_data21_d0 = sc2mac_dat_src_data21; assign sc2mac_dat_data22_d0 = sc2mac_dat_src_data22; assign sc2mac_dat_data23_d0 = sc2mac_dat_src_data23; assign sc2mac_dat_data24_d0 = sc2mac_dat_src_data24; assign sc2mac_dat_data25_d0 = sc2mac_dat_src_data25; assign sc2mac_dat_data26_d0 = sc2mac_dat_src_data26; assign sc2mac_dat_data27_d0 = sc2mac_dat_src_data27; assign sc2mac_dat_data28_d0 = sc2mac_dat_src_data28; assign sc2mac_dat_data29_d0 = sc2mac_dat_src_data29; assign sc2mac_dat_data30_d0 = sc2mac_dat_src_data30; assign sc2mac_dat_data31_d0 = sc2mac_dat_src_data31; assign sc2mac_dat_data32_d0 = sc2mac_dat_src_data32; assign sc2mac_dat_data33_d0 = sc2mac_dat_src_data33; assign sc2mac_dat_data34_d0 = sc2mac_dat_src_data34; assign sc2mac_dat_data35_d0 = sc2mac_dat_src_data35; assign sc2mac_dat_data36_d0 = sc2mac_dat_src_data36; assign sc2mac_dat_data37_d0 = sc2mac_dat_src_data37; assign sc2mac_dat_data38_d0 = sc2mac_dat_src_data38; assign sc2mac_dat_data39_d0 = sc2mac_dat_src_data39; assign sc2mac_dat_data40_d0 = sc2mac_dat_src_data40; assign sc2mac_dat_data41_d0 = sc2mac_dat_src_data41; assign sc2mac_dat_data42_d0 = sc2mac_dat_src_data42; assign sc2mac_dat_data43_d0 = sc2mac_dat_src_data43; assign sc2mac_dat_data44_d0 = sc2mac_dat_src_data44; assign sc2mac_dat_data45_d0 = sc2mac_dat_src_data45; assign sc2mac_dat_data46_d0 = sc2mac_dat_src_data46; assign sc2mac_dat_data47_d0 = sc2mac_dat_src_data47; assign sc2mac_dat_data48_d0 = sc2mac_dat_src_data48; assign sc2mac_dat_data49_d0 = sc2mac_dat_src_data49; assign sc2mac_dat_data50_d0 = sc2mac_dat_src_data50; assign sc2mac_dat_data51_d0 = sc2mac_dat_src_data51; assign sc2mac_dat_data52_d0 = sc2mac_dat_src_data52; assign sc2mac_dat_data53_d0 = sc2mac_dat_src_data53; assign sc2mac_dat_data54_d0 = sc2mac_dat_src_data54; assign sc2mac_dat_data55_d0 = sc2mac_dat_src_data55; assign sc2mac_dat_data56_d0 = sc2mac_dat_src_data56; assign sc2mac_dat_data57_d0 = sc2mac_dat_src_data57; assign sc2mac_dat_data58_d0 = sc2mac_dat_src_data58; assign sc2mac_dat_data59_d0 = sc2mac_dat_src_data59; assign sc2mac_dat_data60_d0 = sc2mac_dat_src_data60; assign sc2mac_dat_data61_d0 = sc2mac_dat_src_data61; assign sc2mac_dat_data62_d0 = sc2mac_dat_src_data62; assign sc2mac_dat_data63_d0 = sc2mac_dat_src_data63; assign sc2mac_dat_data64_d0 = sc2mac_dat_src_data64; assign sc2mac_dat_data65_d0 = sc2mac_dat_src_data65; assign sc2mac_dat_data66_d0 = sc2mac_dat_src_data66; assign sc2mac_dat_data67_d0 = sc2mac_dat_src_data67; assign sc2mac_dat_data68_d0 = sc2mac_dat_src_data68; assign sc2mac_dat_data69_d0 = sc2mac_dat_src_data69; assign sc2mac_dat_data70_d0 = sc2mac_dat_src_data70; assign sc2mac_dat_data71_d0 = sc2mac_dat_src_data71; assign sc2mac_dat_data72_d0 = sc2mac_dat_src_data72; assign sc2mac_dat_data73_d0 = sc2mac_dat_src_data73; assign sc2mac_dat_data74_d0 = sc2mac_dat_src_data74; assign sc2mac_dat_data75_d0 = sc2mac_dat_src_data75; assign sc2mac_dat_data76_d0 = sc2mac_dat_src_data76; assign sc2mac_dat_data77_d0 = sc2mac_dat_src_data77; assign sc2mac_dat_data78_d0 = sc2mac_dat_src_data78; assign sc2mac_dat_data79_d0 = sc2mac_dat_src_data79; assign sc2mac_dat_data80_d0 = sc2mac_dat_src_data80; assign sc2mac_dat_data81_d0 = sc2mac_dat_src_data81; assign sc2mac_dat_data82_d0 = sc2mac_dat_src_data82; assign sc2mac_dat_data83_d0 = sc2mac_dat_src_data83; assign sc2mac_dat_data84_d0 = sc2mac_dat_src_data84; assign sc2mac_dat_data85_d0 = sc2mac_dat_src_data85; assign sc2mac_dat_data86_d0 = sc2mac_dat_src_data86; assign sc2mac_dat_data87_d0 = sc2mac_dat_src_data87; assign sc2mac_dat_data88_d0 = sc2mac_dat_src_data88; assign sc2mac_dat_data89_d0 = sc2mac_dat_src_data89; assign sc2mac_dat_data90_d0 = sc2mac_dat_src_data90; assign sc2mac_dat_data91_d0 = sc2mac_dat_src_data91; assign sc2mac_dat_data92_d0 = sc2mac_dat_src_data92; assign sc2mac_dat_data93_d0 = sc2mac_dat_src_data93; assign sc2mac_dat_data94_d0 = sc2mac_dat_src_data94; assign sc2mac_dat_data95_d0 = sc2mac_dat_src_data95; assign sc2mac_dat_data96_d0 = sc2mac_dat_src_data96; assign sc2mac_dat_data97_d0 = sc2mac_dat_src_data97; assign sc2mac_dat_data98_d0 = sc2mac_dat_src_data98; assign sc2mac_dat_data99_d0 = sc2mac_dat_src_data99; assign sc2mac_dat_data100_d0 = sc2mac_dat_src_data100; assign sc2mac_dat_data101_d0 = sc2mac_dat_src_data101; assign sc2mac_dat_data102_d0 = sc2mac_dat_src_data102; assign sc2mac_dat_data103_d0 = sc2mac_dat_src_data103; assign sc2mac_dat_data104_d0 = sc2mac_dat_src_data104; assign sc2mac_dat_data105_d0 = sc2mac_dat_src_data105; assign sc2mac_dat_data106_d0 = sc2mac_dat_src_data106; assign sc2mac_dat_data107_d0 = sc2mac_dat_src_data107; assign sc2mac_dat_data108_d0 = sc2mac_dat_src_data108; assign sc2mac_dat_data109_d0 = sc2mac_dat_src_data109; assign sc2mac_dat_data110_d0 = sc2mac_dat_src_data110; assign sc2mac_dat_data111_d0 = sc2mac_dat_src_data111; assign sc2mac_dat_data112_d0 = sc2mac_dat_src_data112; assign sc2mac_dat_data113_d0 = sc2mac_dat_src_data113; assign sc2mac_dat_data114_d0 = sc2mac_dat_src_data114; assign sc2mac_dat_data115_d0 = sc2mac_dat_src_data115; assign sc2mac_dat_data116_d0 = sc2mac_dat_src_data116; assign sc2mac_dat_data117_d0 = sc2mac_dat_src_data117; assign sc2mac_dat_data118_d0 = sc2mac_dat_src_data118; assign sc2mac_dat_data119_d0 = sc2mac_dat_src_data119; assign sc2mac_dat_data120_d0 = sc2mac_dat_src_data120; assign sc2mac_dat_data121_d0 = sc2mac_dat_src_data121; assign sc2mac_dat_data122_d0 = sc2mac_dat_src_data122; assign sc2mac_dat_data123_d0 = sc2mac_dat_src_data123; assign sc2mac_dat_data124_d0 = sc2mac_dat_src_data124; assign sc2mac_dat_data125_d0 = sc2mac_dat_src_data125; assign sc2mac_dat_data126_d0 = sc2mac_dat_src_data126; assign sc2mac_dat_data127_d0 = sc2mac_dat_src_data127; always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_pvld_d1 <= 1'b0; end else begin sc2mac_wt_pvld_d1 <= sc2mac_wt_pvld_d0; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_sel_d1 <= {8{1'b0}}; end else begin if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b1) begin sc2mac_wt_sel_d1 <= sc2mac_wt_sel_d0; end else if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b0) begin end else begin sc2mac_wt_sel_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_1x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_mask_d1 <= {128{1'b0}}; end else begin if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b1) begin sc2mac_wt_mask_d1 <= sc2mac_wt_mask_d0; end else if ((sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1) == 1'b0) begin end else begin sc2mac_wt_mask_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_2x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d0 | sc2mac_wt_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[0]) == 1'b1) begin sc2mac_wt_data0_d1 <= sc2mac_wt_data0_d0; end else if ((sc2mac_wt_mask_d0[0]) == 1'b0) begin end else begin sc2mac_wt_data0_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[1]) == 1'b1) begin sc2mac_wt_data1_d1 <= sc2mac_wt_data1_d0; end else if ((sc2mac_wt_mask_d0[1]) == 1'b0) begin end else begin sc2mac_wt_data1_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[2]) == 1'b1) begin sc2mac_wt_data2_d1 <= sc2mac_wt_data2_d0; end else if ((sc2mac_wt_mask_d0[2]) == 1'b0) begin end else begin sc2mac_wt_data2_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[3]) == 1'b1) begin sc2mac_wt_data3_d1 <= sc2mac_wt_data3_d0; end else if ((sc2mac_wt_mask_d0[3]) == 1'b0) begin end else begin sc2mac_wt_data3_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[4]) == 1'b1) begin sc2mac_wt_data4_d1 <= sc2mac_wt_data4_d0; end else if ((sc2mac_wt_mask_d0[4]) == 1'b0) begin end else begin sc2mac_wt_data4_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[5]) == 1'b1) begin sc2mac_wt_data5_d1 <= sc2mac_wt_data5_d0; end else if ((sc2mac_wt_mask_d0[5]) == 1'b0) begin end else begin sc2mac_wt_data5_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[6]) == 1'b1) begin sc2mac_wt_data6_d1 <= sc2mac_wt_data6_d0; end else if ((sc2mac_wt_mask_d0[6]) == 1'b0) begin end else begin sc2mac_wt_data6_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[7]) == 1'b1) begin sc2mac_wt_data7_d1 <= sc2mac_wt_data7_d0; end else if ((sc2mac_wt_mask_d0[7]) == 1'b0) begin end else begin sc2mac_wt_data7_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[8]) == 1'b1) begin sc2mac_wt_data8_d1 <= sc2mac_wt_data8_d0; end else if ((sc2mac_wt_mask_d0[8]) == 1'b0) begin end else begin sc2mac_wt_data8_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[9]) == 1'b1) begin sc2mac_wt_data9_d1 <= sc2mac_wt_data9_d0; end else if ((sc2mac_wt_mask_d0[9]) == 1'b0) begin end else begin sc2mac_wt_data9_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[10]) == 1'b1) begin sc2mac_wt_data10_d1 <= sc2mac_wt_data10_d0; end else if ((sc2mac_wt_mask_d0[10]) == 1'b0) begin end else begin sc2mac_wt_data10_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[11]) == 1'b1) begin sc2mac_wt_data11_d1 <= sc2mac_wt_data11_d0; end else if ((sc2mac_wt_mask_d0[11]) == 1'b0) begin end else begin sc2mac_wt_data11_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[12]) == 1'b1) begin sc2mac_wt_data12_d1 <= sc2mac_wt_data12_d0; end else if ((sc2mac_wt_mask_d0[12]) == 1'b0) begin end else begin sc2mac_wt_data12_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[13]) == 1'b1) begin sc2mac_wt_data13_d1 <= sc2mac_wt_data13_d0; end else if ((sc2mac_wt_mask_d0[13]) == 1'b0) begin end else begin sc2mac_wt_data13_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[14]) == 1'b1) begin sc2mac_wt_data14_d1 <= sc2mac_wt_data14_d0; end else if ((sc2mac_wt_mask_d0[14]) == 1'b0) begin end else begin sc2mac_wt_data14_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[15]) == 1'b1) begin sc2mac_wt_data15_d1 <= sc2mac_wt_data15_d0; end else if ((sc2mac_wt_mask_d0[15]) == 1'b0) begin end else begin sc2mac_wt_data15_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[16]) == 1'b1) begin sc2mac_wt_data16_d1 <= sc2mac_wt_data16_d0; end else if ((sc2mac_wt_mask_d0[16]) == 1'b0) begin end else begin sc2mac_wt_data16_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[17]) == 1'b1) begin sc2mac_wt_data17_d1 <= sc2mac_wt_data17_d0; end else if ((sc2mac_wt_mask_d0[17]) == 1'b0) begin end else begin sc2mac_wt_data17_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[18]) == 1'b1) begin sc2mac_wt_data18_d1 <= sc2mac_wt_data18_d0; end else if ((sc2mac_wt_mask_d0[18]) == 1'b0) begin end else begin sc2mac_wt_data18_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[19]) == 1'b1) begin sc2mac_wt_data19_d1 <= sc2mac_wt_data19_d0; end else if ((sc2mac_wt_mask_d0[19]) == 1'b0) begin end else begin sc2mac_wt_data19_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[20]) == 1'b1) begin sc2mac_wt_data20_d1 <= sc2mac_wt_data20_d0; end else if ((sc2mac_wt_mask_d0[20]) == 1'b0) begin end else begin sc2mac_wt_data20_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[21]) == 1'b1) begin sc2mac_wt_data21_d1 <= sc2mac_wt_data21_d0; end else if ((sc2mac_wt_mask_d0[21]) == 1'b0) begin end else begin sc2mac_wt_data21_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[22]) == 1'b1) begin sc2mac_wt_data22_d1 <= sc2mac_wt_data22_d0; end else if ((sc2mac_wt_mask_d0[22]) == 1'b0) begin end else begin sc2mac_wt_data22_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[23]) == 1'b1) begin sc2mac_wt_data23_d1 <= sc2mac_wt_data23_d0; end else if ((sc2mac_wt_mask_d0[23]) == 1'b0) begin end else begin sc2mac_wt_data23_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[24]) == 1'b1) begin sc2mac_wt_data24_d1 <= sc2mac_wt_data24_d0; end else if ((sc2mac_wt_mask_d0[24]) == 1'b0) begin end else begin sc2mac_wt_data24_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[25]) == 1'b1) begin sc2mac_wt_data25_d1 <= sc2mac_wt_data25_d0; end else if ((sc2mac_wt_mask_d0[25]) == 1'b0) begin end else begin sc2mac_wt_data25_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[26]) == 1'b1) begin sc2mac_wt_data26_d1 <= sc2mac_wt_data26_d0; end else if ((sc2mac_wt_mask_d0[26]) == 1'b0) begin end else begin sc2mac_wt_data26_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[27]) == 1'b1) begin sc2mac_wt_data27_d1 <= sc2mac_wt_data27_d0; end else if ((sc2mac_wt_mask_d0[27]) == 1'b0) begin end else begin sc2mac_wt_data27_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[28]) == 1'b1) begin sc2mac_wt_data28_d1 <= sc2mac_wt_data28_d0; end else if ((sc2mac_wt_mask_d0[28]) == 1'b0) begin end else begin sc2mac_wt_data28_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[29]) == 1'b1) begin sc2mac_wt_data29_d1 <= sc2mac_wt_data29_d0; end else if ((sc2mac_wt_mask_d0[29]) == 1'b0) begin end else begin sc2mac_wt_data29_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[30]) == 1'b1) begin sc2mac_wt_data30_d1 <= sc2mac_wt_data30_d0; end else if ((sc2mac_wt_mask_d0[30]) == 1'b0) begin end else begin sc2mac_wt_data30_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[31]) == 1'b1) begin sc2mac_wt_data31_d1 <= sc2mac_wt_data31_d0; end else if ((sc2mac_wt_mask_d0[31]) == 1'b0) begin end else begin sc2mac_wt_data31_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[32]) == 1'b1) begin sc2mac_wt_data32_d1 <= sc2mac_wt_data32_d0; end else if ((sc2mac_wt_mask_d0[32]) == 1'b0) begin end else begin sc2mac_wt_data32_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[33]) == 1'b1) begin sc2mac_wt_data33_d1 <= sc2mac_wt_data33_d0; end else if ((sc2mac_wt_mask_d0[33]) == 1'b0) begin end else begin sc2mac_wt_data33_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[34]) == 1'b1) begin sc2mac_wt_data34_d1 <= sc2mac_wt_data34_d0; end else if ((sc2mac_wt_mask_d0[34]) == 1'b0) begin end else begin sc2mac_wt_data34_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[35]) == 1'b1) begin sc2mac_wt_data35_d1 <= sc2mac_wt_data35_d0; end else if ((sc2mac_wt_mask_d0[35]) == 1'b0) begin end else begin sc2mac_wt_data35_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[36]) == 1'b1) begin sc2mac_wt_data36_d1 <= sc2mac_wt_data36_d0; end else if ((sc2mac_wt_mask_d0[36]) == 1'b0) begin end else begin sc2mac_wt_data36_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[37]) == 1'b1) begin sc2mac_wt_data37_d1 <= sc2mac_wt_data37_d0; end else if ((sc2mac_wt_mask_d0[37]) == 1'b0) begin end else begin sc2mac_wt_data37_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[38]) == 1'b1) begin sc2mac_wt_data38_d1 <= sc2mac_wt_data38_d0; end else if ((sc2mac_wt_mask_d0[38]) == 1'b0) begin end else begin sc2mac_wt_data38_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[39]) == 1'b1) begin sc2mac_wt_data39_d1 <= sc2mac_wt_data39_d0; end else if ((sc2mac_wt_mask_d0[39]) == 1'b0) begin end else begin sc2mac_wt_data39_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[40]) == 1'b1) begin sc2mac_wt_data40_d1 <= sc2mac_wt_data40_d0; end else if ((sc2mac_wt_mask_d0[40]) == 1'b0) begin end else begin sc2mac_wt_data40_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[41]) == 1'b1) begin sc2mac_wt_data41_d1 <= sc2mac_wt_data41_d0; end else if ((sc2mac_wt_mask_d0[41]) == 1'b0) begin end else begin sc2mac_wt_data41_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[42]) == 1'b1) begin sc2mac_wt_data42_d1 <= sc2mac_wt_data42_d0; end else if ((sc2mac_wt_mask_d0[42]) == 1'b0) begin end else begin sc2mac_wt_data42_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[43]) == 1'b1) begin sc2mac_wt_data43_d1 <= sc2mac_wt_data43_d0; end else if ((sc2mac_wt_mask_d0[43]) == 1'b0) begin end else begin sc2mac_wt_data43_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[44]) == 1'b1) begin sc2mac_wt_data44_d1 <= sc2mac_wt_data44_d0; end else if ((sc2mac_wt_mask_d0[44]) == 1'b0) begin end else begin sc2mac_wt_data44_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[45]) == 1'b1) begin sc2mac_wt_data45_d1 <= sc2mac_wt_data45_d0; end else if ((sc2mac_wt_mask_d0[45]) == 1'b0) begin end else begin sc2mac_wt_data45_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[46]) == 1'b1) begin sc2mac_wt_data46_d1 <= sc2mac_wt_data46_d0; end else if ((sc2mac_wt_mask_d0[46]) == 1'b0) begin end else begin sc2mac_wt_data46_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[47]) == 1'b1) begin sc2mac_wt_data47_d1 <= sc2mac_wt_data47_d0; end else if ((sc2mac_wt_mask_d0[47]) == 1'b0) begin end else begin sc2mac_wt_data47_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[48]) == 1'b1) begin sc2mac_wt_data48_d1 <= sc2mac_wt_data48_d0; end else if ((sc2mac_wt_mask_d0[48]) == 1'b0) begin end else begin sc2mac_wt_data48_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[49]) == 1'b1) begin sc2mac_wt_data49_d1 <= sc2mac_wt_data49_d0; end else if ((sc2mac_wt_mask_d0[49]) == 1'b0) begin end else begin sc2mac_wt_data49_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[50]) == 1'b1) begin sc2mac_wt_data50_d1 <= sc2mac_wt_data50_d0; end else if ((sc2mac_wt_mask_d0[50]) == 1'b0) begin end else begin sc2mac_wt_data50_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[51]) == 1'b1) begin sc2mac_wt_data51_d1 <= sc2mac_wt_data51_d0; end else if ((sc2mac_wt_mask_d0[51]) == 1'b0) begin end else begin sc2mac_wt_data51_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[52]) == 1'b1) begin sc2mac_wt_data52_d1 <= sc2mac_wt_data52_d0; end else if ((sc2mac_wt_mask_d0[52]) == 1'b0) begin end else begin sc2mac_wt_data52_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[53]) == 1'b1) begin sc2mac_wt_data53_d1 <= sc2mac_wt_data53_d0; end else if ((sc2mac_wt_mask_d0[53]) == 1'b0) begin end else begin sc2mac_wt_data53_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[54]) == 1'b1) begin sc2mac_wt_data54_d1 <= sc2mac_wt_data54_d0; end else if ((sc2mac_wt_mask_d0[54]) == 1'b0) begin end else begin sc2mac_wt_data54_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[55]) == 1'b1) begin sc2mac_wt_data55_d1 <= sc2mac_wt_data55_d0; end else if ((sc2mac_wt_mask_d0[55]) == 1'b0) begin end else begin sc2mac_wt_data55_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[56]) == 1'b1) begin sc2mac_wt_data56_d1 <= sc2mac_wt_data56_d0; end else if ((sc2mac_wt_mask_d0[56]) == 1'b0) begin end else begin sc2mac_wt_data56_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[57]) == 1'b1) begin sc2mac_wt_data57_d1 <= sc2mac_wt_data57_d0; end else if ((sc2mac_wt_mask_d0[57]) == 1'b0) begin end else begin sc2mac_wt_data57_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[58]) == 1'b1) begin sc2mac_wt_data58_d1 <= sc2mac_wt_data58_d0; end else if ((sc2mac_wt_mask_d0[58]) == 1'b0) begin end else begin sc2mac_wt_data58_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[59]) == 1'b1) begin sc2mac_wt_data59_d1 <= sc2mac_wt_data59_d0; end else if ((sc2mac_wt_mask_d0[59]) == 1'b0) begin end else begin sc2mac_wt_data59_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[60]) == 1'b1) begin sc2mac_wt_data60_d1 <= sc2mac_wt_data60_d0; end else if ((sc2mac_wt_mask_d0[60]) == 1'b0) begin end else begin sc2mac_wt_data60_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[61]) == 1'b1) begin sc2mac_wt_data61_d1 <= sc2mac_wt_data61_d0; end else if ((sc2mac_wt_mask_d0[61]) == 1'b0) begin end else begin sc2mac_wt_data61_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[62]) == 1'b1) begin sc2mac_wt_data62_d1 <= sc2mac_wt_data62_d0; end else if ((sc2mac_wt_mask_d0[62]) == 1'b0) begin end else begin sc2mac_wt_data62_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[63]) == 1'b1) begin sc2mac_wt_data63_d1 <= sc2mac_wt_data63_d0; end else if ((sc2mac_wt_mask_d0[63]) == 1'b0) begin end else begin sc2mac_wt_data63_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[64]) == 1'b1) begin sc2mac_wt_data64_d1 <= sc2mac_wt_data64_d0; end else if ((sc2mac_wt_mask_d0[64]) == 1'b0) begin end else begin sc2mac_wt_data64_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[65]) == 1'b1) begin sc2mac_wt_data65_d1 <= sc2mac_wt_data65_d0; end else if ((sc2mac_wt_mask_d0[65]) == 1'b0) begin end else begin sc2mac_wt_data65_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[66]) == 1'b1) begin sc2mac_wt_data66_d1 <= sc2mac_wt_data66_d0; end else if ((sc2mac_wt_mask_d0[66]) == 1'b0) begin end else begin sc2mac_wt_data66_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[67]) == 1'b1) begin sc2mac_wt_data67_d1 <= sc2mac_wt_data67_d0; end else if ((sc2mac_wt_mask_d0[67]) == 1'b0) begin end else begin sc2mac_wt_data67_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[68]) == 1'b1) begin sc2mac_wt_data68_d1 <= sc2mac_wt_data68_d0; end else if ((sc2mac_wt_mask_d0[68]) == 1'b0) begin end else begin sc2mac_wt_data68_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[69]) == 1'b1) begin sc2mac_wt_data69_d1 <= sc2mac_wt_data69_d0; end else if ((sc2mac_wt_mask_d0[69]) == 1'b0) begin end else begin sc2mac_wt_data69_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[70]) == 1'b1) begin sc2mac_wt_data70_d1 <= sc2mac_wt_data70_d0; end else if ((sc2mac_wt_mask_d0[70]) == 1'b0) begin end else begin sc2mac_wt_data70_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[71]) == 1'b1) begin sc2mac_wt_data71_d1 <= sc2mac_wt_data71_d0; end else if ((sc2mac_wt_mask_d0[71]) == 1'b0) begin end else begin sc2mac_wt_data71_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[72]) == 1'b1) begin sc2mac_wt_data72_d1 <= sc2mac_wt_data72_d0; end else if ((sc2mac_wt_mask_d0[72]) == 1'b0) begin end else begin sc2mac_wt_data72_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[73]) == 1'b1) begin sc2mac_wt_data73_d1 <= sc2mac_wt_data73_d0; end else if ((sc2mac_wt_mask_d0[73]) == 1'b0) begin end else begin sc2mac_wt_data73_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[74]) == 1'b1) begin sc2mac_wt_data74_d1 <= sc2mac_wt_data74_d0; end else if ((sc2mac_wt_mask_d0[74]) == 1'b0) begin end else begin sc2mac_wt_data74_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[75]) == 1'b1) begin sc2mac_wt_data75_d1 <= sc2mac_wt_data75_d0; end else if ((sc2mac_wt_mask_d0[75]) == 1'b0) begin end else begin sc2mac_wt_data75_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[76]) == 1'b1) begin sc2mac_wt_data76_d1 <= sc2mac_wt_data76_d0; end else if ((sc2mac_wt_mask_d0[76]) == 1'b0) begin end else begin sc2mac_wt_data76_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[77]) == 1'b1) begin sc2mac_wt_data77_d1 <= sc2mac_wt_data77_d0; end else if ((sc2mac_wt_mask_d0[77]) == 1'b0) begin end else begin sc2mac_wt_data77_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[78]) == 1'b1) begin sc2mac_wt_data78_d1 <= sc2mac_wt_data78_d0; end else if ((sc2mac_wt_mask_d0[78]) == 1'b0) begin end else begin sc2mac_wt_data78_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[79]) == 1'b1) begin sc2mac_wt_data79_d1 <= sc2mac_wt_data79_d0; end else if ((sc2mac_wt_mask_d0[79]) == 1'b0) begin end else begin sc2mac_wt_data79_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[80]) == 1'b1) begin sc2mac_wt_data80_d1 <= sc2mac_wt_data80_d0; end else if ((sc2mac_wt_mask_d0[80]) == 1'b0) begin end else begin sc2mac_wt_data80_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[81]) == 1'b1) begin sc2mac_wt_data81_d1 <= sc2mac_wt_data81_d0; end else if ((sc2mac_wt_mask_d0[81]) == 1'b0) begin end else begin sc2mac_wt_data81_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[82]) == 1'b1) begin sc2mac_wt_data82_d1 <= sc2mac_wt_data82_d0; end else if ((sc2mac_wt_mask_d0[82]) == 1'b0) begin end else begin sc2mac_wt_data82_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[83]) == 1'b1) begin sc2mac_wt_data83_d1 <= sc2mac_wt_data83_d0; end else if ((sc2mac_wt_mask_d0[83]) == 1'b0) begin end else begin sc2mac_wt_data83_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[84]) == 1'b1) begin sc2mac_wt_data84_d1 <= sc2mac_wt_data84_d0; end else if ((sc2mac_wt_mask_d0[84]) == 1'b0) begin end else begin sc2mac_wt_data84_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[85]) == 1'b1) begin sc2mac_wt_data85_d1 <= sc2mac_wt_data85_d0; end else if ((sc2mac_wt_mask_d0[85]) == 1'b0) begin end else begin sc2mac_wt_data85_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[86]) == 1'b1) begin sc2mac_wt_data86_d1 <= sc2mac_wt_data86_d0; end else if ((sc2mac_wt_mask_d0[86]) == 1'b0) begin end else begin sc2mac_wt_data86_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[87]) == 1'b1) begin sc2mac_wt_data87_d1 <= sc2mac_wt_data87_d0; end else if ((sc2mac_wt_mask_d0[87]) == 1'b0) begin end else begin sc2mac_wt_data87_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[88]) == 1'b1) begin sc2mac_wt_data88_d1 <= sc2mac_wt_data88_d0; end else if ((sc2mac_wt_mask_d0[88]) == 1'b0) begin end else begin sc2mac_wt_data88_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[89]) == 1'b1) begin sc2mac_wt_data89_d1 <= sc2mac_wt_data89_d0; end else if ((sc2mac_wt_mask_d0[89]) == 1'b0) begin end else begin sc2mac_wt_data89_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[90]) == 1'b1) begin sc2mac_wt_data90_d1 <= sc2mac_wt_data90_d0; end else if ((sc2mac_wt_mask_d0[90]) == 1'b0) begin end else begin sc2mac_wt_data90_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[91]) == 1'b1) begin sc2mac_wt_data91_d1 <= sc2mac_wt_data91_d0; end else if ((sc2mac_wt_mask_d0[91]) == 1'b0) begin end else begin sc2mac_wt_data91_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[92]) == 1'b1) begin sc2mac_wt_data92_d1 <= sc2mac_wt_data92_d0; end else if ((sc2mac_wt_mask_d0[92]) == 1'b0) begin end else begin sc2mac_wt_data92_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[93]) == 1'b1) begin sc2mac_wt_data93_d1 <= sc2mac_wt_data93_d0; end else if ((sc2mac_wt_mask_d0[93]) == 1'b0) begin end else begin sc2mac_wt_data93_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[94]) == 1'b1) begin sc2mac_wt_data94_d1 <= sc2mac_wt_data94_d0; end else if ((sc2mac_wt_mask_d0[94]) == 1'b0) begin end else begin sc2mac_wt_data94_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[95]) == 1'b1) begin sc2mac_wt_data95_d1 <= sc2mac_wt_data95_d0; end else if ((sc2mac_wt_mask_d0[95]) == 1'b0) begin end else begin sc2mac_wt_data95_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[96]) == 1'b1) begin sc2mac_wt_data96_d1 <= sc2mac_wt_data96_d0; end else if ((sc2mac_wt_mask_d0[96]) == 1'b0) begin end else begin sc2mac_wt_data96_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[97]) == 1'b1) begin sc2mac_wt_data97_d1 <= sc2mac_wt_data97_d0; end else if ((sc2mac_wt_mask_d0[97]) == 1'b0) begin end else begin sc2mac_wt_data97_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[98]) == 1'b1) begin sc2mac_wt_data98_d1 <= sc2mac_wt_data98_d0; end else if ((sc2mac_wt_mask_d0[98]) == 1'b0) begin end else begin sc2mac_wt_data98_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[99]) == 1'b1) begin sc2mac_wt_data99_d1 <= sc2mac_wt_data99_d0; end else if ((sc2mac_wt_mask_d0[99]) == 1'b0) begin end else begin sc2mac_wt_data99_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[100]) == 1'b1) begin sc2mac_wt_data100_d1 <= sc2mac_wt_data100_d0; end else if ((sc2mac_wt_mask_d0[100]) == 1'b0) begin end else begin sc2mac_wt_data100_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[101]) == 1'b1) begin sc2mac_wt_data101_d1 <= sc2mac_wt_data101_d0; end else if ((sc2mac_wt_mask_d0[101]) == 1'b0) begin end else begin sc2mac_wt_data101_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[102]) == 1'b1) begin sc2mac_wt_data102_d1 <= sc2mac_wt_data102_d0; end else if ((sc2mac_wt_mask_d0[102]) == 1'b0) begin end else begin sc2mac_wt_data102_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[103]) == 1'b1) begin sc2mac_wt_data103_d1 <= sc2mac_wt_data103_d0; end else if ((sc2mac_wt_mask_d0[103]) == 1'b0) begin end else begin sc2mac_wt_data103_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[104]) == 1'b1) begin sc2mac_wt_data104_d1 <= sc2mac_wt_data104_d0; end else if ((sc2mac_wt_mask_d0[104]) == 1'b0) begin end else begin sc2mac_wt_data104_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[105]) == 1'b1) begin sc2mac_wt_data105_d1 <= sc2mac_wt_data105_d0; end else if ((sc2mac_wt_mask_d0[105]) == 1'b0) begin end else begin sc2mac_wt_data105_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[106]) == 1'b1) begin sc2mac_wt_data106_d1 <= sc2mac_wt_data106_d0; end else if ((sc2mac_wt_mask_d0[106]) == 1'b0) begin end else begin sc2mac_wt_data106_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[107]) == 1'b1) begin sc2mac_wt_data107_d1 <= sc2mac_wt_data107_d0; end else if ((sc2mac_wt_mask_d0[107]) == 1'b0) begin end else begin sc2mac_wt_data107_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[108]) == 1'b1) begin sc2mac_wt_data108_d1 <= sc2mac_wt_data108_d0; end else if ((sc2mac_wt_mask_d0[108]) == 1'b0) begin end else begin sc2mac_wt_data108_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[109]) == 1'b1) begin sc2mac_wt_data109_d1 <= sc2mac_wt_data109_d0; end else if ((sc2mac_wt_mask_d0[109]) == 1'b0) begin end else begin sc2mac_wt_data109_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[110]) == 1'b1) begin sc2mac_wt_data110_d1 <= sc2mac_wt_data110_d0; end else if ((sc2mac_wt_mask_d0[110]) == 1'b0) begin end else begin sc2mac_wt_data110_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[111]) == 1'b1) begin sc2mac_wt_data111_d1 <= sc2mac_wt_data111_d0; end else if ((sc2mac_wt_mask_d0[111]) == 1'b0) begin end else begin sc2mac_wt_data111_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[112]) == 1'b1) begin sc2mac_wt_data112_d1 <= sc2mac_wt_data112_d0; end else if ((sc2mac_wt_mask_d0[112]) == 1'b0) begin end else begin sc2mac_wt_data112_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[113]) == 1'b1) begin sc2mac_wt_data113_d1 <= sc2mac_wt_data113_d0; end else if ((sc2mac_wt_mask_d0[113]) == 1'b0) begin end else begin sc2mac_wt_data113_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[114]) == 1'b1) begin sc2mac_wt_data114_d1 <= sc2mac_wt_data114_d0; end else if ((sc2mac_wt_mask_d0[114]) == 1'b0) begin end else begin sc2mac_wt_data114_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[115]) == 1'b1) begin sc2mac_wt_data115_d1 <= sc2mac_wt_data115_d0; end else if ((sc2mac_wt_mask_d0[115]) == 1'b0) begin end else begin sc2mac_wt_data115_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[116]) == 1'b1) begin sc2mac_wt_data116_d1 <= sc2mac_wt_data116_d0; end else if ((sc2mac_wt_mask_d0[116]) == 1'b0) begin end else begin sc2mac_wt_data116_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[117]) == 1'b1) begin sc2mac_wt_data117_d1 <= sc2mac_wt_data117_d0; end else if ((sc2mac_wt_mask_d0[117]) == 1'b0) begin end else begin sc2mac_wt_data117_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[118]) == 1'b1) begin sc2mac_wt_data118_d1 <= sc2mac_wt_data118_d0; end else if ((sc2mac_wt_mask_d0[118]) == 1'b0) begin end else begin sc2mac_wt_data118_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[119]) == 1'b1) begin sc2mac_wt_data119_d1 <= sc2mac_wt_data119_d0; end else if ((sc2mac_wt_mask_d0[119]) == 1'b0) begin end else begin sc2mac_wt_data119_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[120]) == 1'b1) begin sc2mac_wt_data120_d1 <= sc2mac_wt_data120_d0; end else if ((sc2mac_wt_mask_d0[120]) == 1'b0) begin end else begin sc2mac_wt_data120_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[121]) == 1'b1) begin sc2mac_wt_data121_d1 <= sc2mac_wt_data121_d0; end else if ((sc2mac_wt_mask_d0[121]) == 1'b0) begin end else begin sc2mac_wt_data121_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[122]) == 1'b1) begin sc2mac_wt_data122_d1 <= sc2mac_wt_data122_d0; end else if ((sc2mac_wt_mask_d0[122]) == 1'b0) begin end else begin sc2mac_wt_data122_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[123]) == 1'b1) begin sc2mac_wt_data123_d1 <= sc2mac_wt_data123_d0; end else if ((sc2mac_wt_mask_d0[123]) == 1'b0) begin end else begin sc2mac_wt_data123_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[124]) == 1'b1) begin sc2mac_wt_data124_d1 <= sc2mac_wt_data124_d0; end else if ((sc2mac_wt_mask_d0[124]) == 1'b0) begin end else begin sc2mac_wt_data124_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[125]) == 1'b1) begin sc2mac_wt_data125_d1 <= sc2mac_wt_data125_d0; end else if ((sc2mac_wt_mask_d0[125]) == 1'b0) begin end else begin sc2mac_wt_data125_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[126]) == 1'b1) begin sc2mac_wt_data126_d1 <= sc2mac_wt_data126_d0; end else if ((sc2mac_wt_mask_d0[126]) == 1'b0) begin end else begin sc2mac_wt_data126_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d0[127]) == 1'b1) begin sc2mac_wt_data127_d1 <= sc2mac_wt_data127_d0; end else if ((sc2mac_wt_mask_d0[127]) == 1'b0) begin end else begin sc2mac_wt_data127_d1 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pvld_d1 <= 1'b0; end else begin sc2mac_dat_pvld_d1 <= sc2mac_dat_pvld_d0; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pd_d1 <= {9{1'b0}}; end else begin if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b1) begin sc2mac_dat_pd_d1 <= sc2mac_dat_pd_d0; end else if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b0) begin end else begin sc2mac_dat_pd_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_3x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_mask_d1 <= {128{1'b0}}; end else begin if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b1) begin sc2mac_dat_mask_d1 <= sc2mac_dat_mask_d0; end else if ((sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1) == 1'b0) begin end else begin sc2mac_dat_mask_d1 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_4x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d0 | sc2mac_dat_pvld_d1))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[0]) == 1'b1) begin sc2mac_dat_data0_d1 <= sc2mac_dat_data0_d0; end else if ((sc2mac_dat_mask_d0[0]) == 1'b0) begin end else begin sc2mac_dat_data0_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[1]) == 1'b1) begin sc2mac_dat_data1_d1 <= sc2mac_dat_data1_d0; end else if ((sc2mac_dat_mask_d0[1]) == 1'b0) begin end else begin sc2mac_dat_data1_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[2]) == 1'b1) begin sc2mac_dat_data2_d1 <= sc2mac_dat_data2_d0; end else if ((sc2mac_dat_mask_d0[2]) == 1'b0) begin end else begin sc2mac_dat_data2_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[3]) == 1'b1) begin sc2mac_dat_data3_d1 <= sc2mac_dat_data3_d0; end else if ((sc2mac_dat_mask_d0[3]) == 1'b0) begin end else begin sc2mac_dat_data3_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[4]) == 1'b1) begin sc2mac_dat_data4_d1 <= sc2mac_dat_data4_d0; end else if ((sc2mac_dat_mask_d0[4]) == 1'b0) begin end else begin sc2mac_dat_data4_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[5]) == 1'b1) begin sc2mac_dat_data5_d1 <= sc2mac_dat_data5_d0; end else if ((sc2mac_dat_mask_d0[5]) == 1'b0) begin end else begin sc2mac_dat_data5_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[6]) == 1'b1) begin sc2mac_dat_data6_d1 <= sc2mac_dat_data6_d0; end else if ((sc2mac_dat_mask_d0[6]) == 1'b0) begin end else begin sc2mac_dat_data6_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[7]) == 1'b1) begin sc2mac_dat_data7_d1 <= sc2mac_dat_data7_d0; end else if ((sc2mac_dat_mask_d0[7]) == 1'b0) begin end else begin sc2mac_dat_data7_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[8]) == 1'b1) begin sc2mac_dat_data8_d1 <= sc2mac_dat_data8_d0; end else if ((sc2mac_dat_mask_d0[8]) == 1'b0) begin end else begin sc2mac_dat_data8_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[9]) == 1'b1) begin sc2mac_dat_data9_d1 <= sc2mac_dat_data9_d0; end else if ((sc2mac_dat_mask_d0[9]) == 1'b0) begin end else begin sc2mac_dat_data9_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[10]) == 1'b1) begin sc2mac_dat_data10_d1 <= sc2mac_dat_data10_d0; end else if ((sc2mac_dat_mask_d0[10]) == 1'b0) begin end else begin sc2mac_dat_data10_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[11]) == 1'b1) begin sc2mac_dat_data11_d1 <= sc2mac_dat_data11_d0; end else if ((sc2mac_dat_mask_d0[11]) == 1'b0) begin end else begin sc2mac_dat_data11_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[12]) == 1'b1) begin sc2mac_dat_data12_d1 <= sc2mac_dat_data12_d0; end else if ((sc2mac_dat_mask_d0[12]) == 1'b0) begin end else begin sc2mac_dat_data12_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[13]) == 1'b1) begin sc2mac_dat_data13_d1 <= sc2mac_dat_data13_d0; end else if ((sc2mac_dat_mask_d0[13]) == 1'b0) begin end else begin sc2mac_dat_data13_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[14]) == 1'b1) begin sc2mac_dat_data14_d1 <= sc2mac_dat_data14_d0; end else if ((sc2mac_dat_mask_d0[14]) == 1'b0) begin end else begin sc2mac_dat_data14_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[15]) == 1'b1) begin sc2mac_dat_data15_d1 <= sc2mac_dat_data15_d0; end else if ((sc2mac_dat_mask_d0[15]) == 1'b0) begin end else begin sc2mac_dat_data15_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[16]) == 1'b1) begin sc2mac_dat_data16_d1 <= sc2mac_dat_data16_d0; end else if ((sc2mac_dat_mask_d0[16]) == 1'b0) begin end else begin sc2mac_dat_data16_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[17]) == 1'b1) begin sc2mac_dat_data17_d1 <= sc2mac_dat_data17_d0; end else if ((sc2mac_dat_mask_d0[17]) == 1'b0) begin end else begin sc2mac_dat_data17_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[18]) == 1'b1) begin sc2mac_dat_data18_d1 <= sc2mac_dat_data18_d0; end else if ((sc2mac_dat_mask_d0[18]) == 1'b0) begin end else begin sc2mac_dat_data18_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[19]) == 1'b1) begin sc2mac_dat_data19_d1 <= sc2mac_dat_data19_d0; end else if ((sc2mac_dat_mask_d0[19]) == 1'b0) begin end else begin sc2mac_dat_data19_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[20]) == 1'b1) begin sc2mac_dat_data20_d1 <= sc2mac_dat_data20_d0; end else if ((sc2mac_dat_mask_d0[20]) == 1'b0) begin end else begin sc2mac_dat_data20_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[21]) == 1'b1) begin sc2mac_dat_data21_d1 <= sc2mac_dat_data21_d0; end else if ((sc2mac_dat_mask_d0[21]) == 1'b0) begin end else begin sc2mac_dat_data21_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[22]) == 1'b1) begin sc2mac_dat_data22_d1 <= sc2mac_dat_data22_d0; end else if ((sc2mac_dat_mask_d0[22]) == 1'b0) begin end else begin sc2mac_dat_data22_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[23]) == 1'b1) begin sc2mac_dat_data23_d1 <= sc2mac_dat_data23_d0; end else if ((sc2mac_dat_mask_d0[23]) == 1'b0) begin end else begin sc2mac_dat_data23_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[24]) == 1'b1) begin sc2mac_dat_data24_d1 <= sc2mac_dat_data24_d0; end else if ((sc2mac_dat_mask_d0[24]) == 1'b0) begin end else begin sc2mac_dat_data24_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[25]) == 1'b1) begin sc2mac_dat_data25_d1 <= sc2mac_dat_data25_d0; end else if ((sc2mac_dat_mask_d0[25]) == 1'b0) begin end else begin sc2mac_dat_data25_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[26]) == 1'b1) begin sc2mac_dat_data26_d1 <= sc2mac_dat_data26_d0; end else if ((sc2mac_dat_mask_d0[26]) == 1'b0) begin end else begin sc2mac_dat_data26_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[27]) == 1'b1) begin sc2mac_dat_data27_d1 <= sc2mac_dat_data27_d0; end else if ((sc2mac_dat_mask_d0[27]) == 1'b0) begin end else begin sc2mac_dat_data27_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[28]) == 1'b1) begin sc2mac_dat_data28_d1 <= sc2mac_dat_data28_d0; end else if ((sc2mac_dat_mask_d0[28]) == 1'b0) begin end else begin sc2mac_dat_data28_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[29]) == 1'b1) begin sc2mac_dat_data29_d1 <= sc2mac_dat_data29_d0; end else if ((sc2mac_dat_mask_d0[29]) == 1'b0) begin end else begin sc2mac_dat_data29_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[30]) == 1'b1) begin sc2mac_dat_data30_d1 <= sc2mac_dat_data30_d0; end else if ((sc2mac_dat_mask_d0[30]) == 1'b0) begin end else begin sc2mac_dat_data30_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[31]) == 1'b1) begin sc2mac_dat_data31_d1 <= sc2mac_dat_data31_d0; end else if ((sc2mac_dat_mask_d0[31]) == 1'b0) begin end else begin sc2mac_dat_data31_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[32]) == 1'b1) begin sc2mac_dat_data32_d1 <= sc2mac_dat_data32_d0; end else if ((sc2mac_dat_mask_d0[32]) == 1'b0) begin end else begin sc2mac_dat_data32_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[33]) == 1'b1) begin sc2mac_dat_data33_d1 <= sc2mac_dat_data33_d0; end else if ((sc2mac_dat_mask_d0[33]) == 1'b0) begin end else begin sc2mac_dat_data33_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[34]) == 1'b1) begin sc2mac_dat_data34_d1 <= sc2mac_dat_data34_d0; end else if ((sc2mac_dat_mask_d0[34]) == 1'b0) begin end else begin sc2mac_dat_data34_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[35]) == 1'b1) begin sc2mac_dat_data35_d1 <= sc2mac_dat_data35_d0; end else if ((sc2mac_dat_mask_d0[35]) == 1'b0) begin end else begin sc2mac_dat_data35_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[36]) == 1'b1) begin sc2mac_dat_data36_d1 <= sc2mac_dat_data36_d0; end else if ((sc2mac_dat_mask_d0[36]) == 1'b0) begin end else begin sc2mac_dat_data36_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[37]) == 1'b1) begin sc2mac_dat_data37_d1 <= sc2mac_dat_data37_d0; end else if ((sc2mac_dat_mask_d0[37]) == 1'b0) begin end else begin sc2mac_dat_data37_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[38]) == 1'b1) begin sc2mac_dat_data38_d1 <= sc2mac_dat_data38_d0; end else if ((sc2mac_dat_mask_d0[38]) == 1'b0) begin end else begin sc2mac_dat_data38_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[39]) == 1'b1) begin sc2mac_dat_data39_d1 <= sc2mac_dat_data39_d0; end else if ((sc2mac_dat_mask_d0[39]) == 1'b0) begin end else begin sc2mac_dat_data39_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[40]) == 1'b1) begin sc2mac_dat_data40_d1 <= sc2mac_dat_data40_d0; end else if ((sc2mac_dat_mask_d0[40]) == 1'b0) begin end else begin sc2mac_dat_data40_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[41]) == 1'b1) begin sc2mac_dat_data41_d1 <= sc2mac_dat_data41_d0; end else if ((sc2mac_dat_mask_d0[41]) == 1'b0) begin end else begin sc2mac_dat_data41_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[42]) == 1'b1) begin sc2mac_dat_data42_d1 <= sc2mac_dat_data42_d0; end else if ((sc2mac_dat_mask_d0[42]) == 1'b0) begin end else begin sc2mac_dat_data42_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[43]) == 1'b1) begin sc2mac_dat_data43_d1 <= sc2mac_dat_data43_d0; end else if ((sc2mac_dat_mask_d0[43]) == 1'b0) begin end else begin sc2mac_dat_data43_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[44]) == 1'b1) begin sc2mac_dat_data44_d1 <= sc2mac_dat_data44_d0; end else if ((sc2mac_dat_mask_d0[44]) == 1'b0) begin end else begin sc2mac_dat_data44_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[45]) == 1'b1) begin sc2mac_dat_data45_d1 <= sc2mac_dat_data45_d0; end else if ((sc2mac_dat_mask_d0[45]) == 1'b0) begin end else begin sc2mac_dat_data45_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[46]) == 1'b1) begin sc2mac_dat_data46_d1 <= sc2mac_dat_data46_d0; end else if ((sc2mac_dat_mask_d0[46]) == 1'b0) begin end else begin sc2mac_dat_data46_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[47]) == 1'b1) begin sc2mac_dat_data47_d1 <= sc2mac_dat_data47_d0; end else if ((sc2mac_dat_mask_d0[47]) == 1'b0) begin end else begin sc2mac_dat_data47_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[48]) == 1'b1) begin sc2mac_dat_data48_d1 <= sc2mac_dat_data48_d0; end else if ((sc2mac_dat_mask_d0[48]) == 1'b0) begin end else begin sc2mac_dat_data48_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[49]) == 1'b1) begin sc2mac_dat_data49_d1 <= sc2mac_dat_data49_d0; end else if ((sc2mac_dat_mask_d0[49]) == 1'b0) begin end else begin sc2mac_dat_data49_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[50]) == 1'b1) begin sc2mac_dat_data50_d1 <= sc2mac_dat_data50_d0; end else if ((sc2mac_dat_mask_d0[50]) == 1'b0) begin end else begin sc2mac_dat_data50_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[51]) == 1'b1) begin sc2mac_dat_data51_d1 <= sc2mac_dat_data51_d0; end else if ((sc2mac_dat_mask_d0[51]) == 1'b0) begin end else begin sc2mac_dat_data51_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[52]) == 1'b1) begin sc2mac_dat_data52_d1 <= sc2mac_dat_data52_d0; end else if ((sc2mac_dat_mask_d0[52]) == 1'b0) begin end else begin sc2mac_dat_data52_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[53]) == 1'b1) begin sc2mac_dat_data53_d1 <= sc2mac_dat_data53_d0; end else if ((sc2mac_dat_mask_d0[53]) == 1'b0) begin end else begin sc2mac_dat_data53_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[54]) == 1'b1) begin sc2mac_dat_data54_d1 <= sc2mac_dat_data54_d0; end else if ((sc2mac_dat_mask_d0[54]) == 1'b0) begin end else begin sc2mac_dat_data54_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[55]) == 1'b1) begin sc2mac_dat_data55_d1 <= sc2mac_dat_data55_d0; end else if ((sc2mac_dat_mask_d0[55]) == 1'b0) begin end else begin sc2mac_dat_data55_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[56]) == 1'b1) begin sc2mac_dat_data56_d1 <= sc2mac_dat_data56_d0; end else if ((sc2mac_dat_mask_d0[56]) == 1'b0) begin end else begin sc2mac_dat_data56_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[57]) == 1'b1) begin sc2mac_dat_data57_d1 <= sc2mac_dat_data57_d0; end else if ((sc2mac_dat_mask_d0[57]) == 1'b0) begin end else begin sc2mac_dat_data57_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[58]) == 1'b1) begin sc2mac_dat_data58_d1 <= sc2mac_dat_data58_d0; end else if ((sc2mac_dat_mask_d0[58]) == 1'b0) begin end else begin sc2mac_dat_data58_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[59]) == 1'b1) begin sc2mac_dat_data59_d1 <= sc2mac_dat_data59_d0; end else if ((sc2mac_dat_mask_d0[59]) == 1'b0) begin end else begin sc2mac_dat_data59_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[60]) == 1'b1) begin sc2mac_dat_data60_d1 <= sc2mac_dat_data60_d0; end else if ((sc2mac_dat_mask_d0[60]) == 1'b0) begin end else begin sc2mac_dat_data60_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[61]) == 1'b1) begin sc2mac_dat_data61_d1 <= sc2mac_dat_data61_d0; end else if ((sc2mac_dat_mask_d0[61]) == 1'b0) begin end else begin sc2mac_dat_data61_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[62]) == 1'b1) begin sc2mac_dat_data62_d1 <= sc2mac_dat_data62_d0; end else if ((sc2mac_dat_mask_d0[62]) == 1'b0) begin end else begin sc2mac_dat_data62_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[63]) == 1'b1) begin sc2mac_dat_data63_d1 <= sc2mac_dat_data63_d0; end else if ((sc2mac_dat_mask_d0[63]) == 1'b0) begin end else begin sc2mac_dat_data63_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[64]) == 1'b1) begin sc2mac_dat_data64_d1 <= sc2mac_dat_data64_d0; end else if ((sc2mac_dat_mask_d0[64]) == 1'b0) begin end else begin sc2mac_dat_data64_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[65]) == 1'b1) begin sc2mac_dat_data65_d1 <= sc2mac_dat_data65_d0; end else if ((sc2mac_dat_mask_d0[65]) == 1'b0) begin end else begin sc2mac_dat_data65_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[66]) == 1'b1) begin sc2mac_dat_data66_d1 <= sc2mac_dat_data66_d0; end else if ((sc2mac_dat_mask_d0[66]) == 1'b0) begin end else begin sc2mac_dat_data66_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[67]) == 1'b1) begin sc2mac_dat_data67_d1 <= sc2mac_dat_data67_d0; end else if ((sc2mac_dat_mask_d0[67]) == 1'b0) begin end else begin sc2mac_dat_data67_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[68]) == 1'b1) begin sc2mac_dat_data68_d1 <= sc2mac_dat_data68_d0; end else if ((sc2mac_dat_mask_d0[68]) == 1'b0) begin end else begin sc2mac_dat_data68_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[69]) == 1'b1) begin sc2mac_dat_data69_d1 <= sc2mac_dat_data69_d0; end else if ((sc2mac_dat_mask_d0[69]) == 1'b0) begin end else begin sc2mac_dat_data69_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[70]) == 1'b1) begin sc2mac_dat_data70_d1 <= sc2mac_dat_data70_d0; end else if ((sc2mac_dat_mask_d0[70]) == 1'b0) begin end else begin sc2mac_dat_data70_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[71]) == 1'b1) begin sc2mac_dat_data71_d1 <= sc2mac_dat_data71_d0; end else if ((sc2mac_dat_mask_d0[71]) == 1'b0) begin end else begin sc2mac_dat_data71_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[72]) == 1'b1) begin sc2mac_dat_data72_d1 <= sc2mac_dat_data72_d0; end else if ((sc2mac_dat_mask_d0[72]) == 1'b0) begin end else begin sc2mac_dat_data72_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[73]) == 1'b1) begin sc2mac_dat_data73_d1 <= sc2mac_dat_data73_d0; end else if ((sc2mac_dat_mask_d0[73]) == 1'b0) begin end else begin sc2mac_dat_data73_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[74]) == 1'b1) begin sc2mac_dat_data74_d1 <= sc2mac_dat_data74_d0; end else if ((sc2mac_dat_mask_d0[74]) == 1'b0) begin end else begin sc2mac_dat_data74_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[75]) == 1'b1) begin sc2mac_dat_data75_d1 <= sc2mac_dat_data75_d0; end else if ((sc2mac_dat_mask_d0[75]) == 1'b0) begin end else begin sc2mac_dat_data75_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[76]) == 1'b1) begin sc2mac_dat_data76_d1 <= sc2mac_dat_data76_d0; end else if ((sc2mac_dat_mask_d0[76]) == 1'b0) begin end else begin sc2mac_dat_data76_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[77]) == 1'b1) begin sc2mac_dat_data77_d1 <= sc2mac_dat_data77_d0; end else if ((sc2mac_dat_mask_d0[77]) == 1'b0) begin end else begin sc2mac_dat_data77_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[78]) == 1'b1) begin sc2mac_dat_data78_d1 <= sc2mac_dat_data78_d0; end else if ((sc2mac_dat_mask_d0[78]) == 1'b0) begin end else begin sc2mac_dat_data78_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[79]) == 1'b1) begin sc2mac_dat_data79_d1 <= sc2mac_dat_data79_d0; end else if ((sc2mac_dat_mask_d0[79]) == 1'b0) begin end else begin sc2mac_dat_data79_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[80]) == 1'b1) begin sc2mac_dat_data80_d1 <= sc2mac_dat_data80_d0; end else if ((sc2mac_dat_mask_d0[80]) == 1'b0) begin end else begin sc2mac_dat_data80_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[81]) == 1'b1) begin sc2mac_dat_data81_d1 <= sc2mac_dat_data81_d0; end else if ((sc2mac_dat_mask_d0[81]) == 1'b0) begin end else begin sc2mac_dat_data81_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[82]) == 1'b1) begin sc2mac_dat_data82_d1 <= sc2mac_dat_data82_d0; end else if ((sc2mac_dat_mask_d0[82]) == 1'b0) begin end else begin sc2mac_dat_data82_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[83]) == 1'b1) begin sc2mac_dat_data83_d1 <= sc2mac_dat_data83_d0; end else if ((sc2mac_dat_mask_d0[83]) == 1'b0) begin end else begin sc2mac_dat_data83_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[84]) == 1'b1) begin sc2mac_dat_data84_d1 <= sc2mac_dat_data84_d0; end else if ((sc2mac_dat_mask_d0[84]) == 1'b0) begin end else begin sc2mac_dat_data84_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[85]) == 1'b1) begin sc2mac_dat_data85_d1 <= sc2mac_dat_data85_d0; end else if ((sc2mac_dat_mask_d0[85]) == 1'b0) begin end else begin sc2mac_dat_data85_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[86]) == 1'b1) begin sc2mac_dat_data86_d1 <= sc2mac_dat_data86_d0; end else if ((sc2mac_dat_mask_d0[86]) == 1'b0) begin end else begin sc2mac_dat_data86_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[87]) == 1'b1) begin sc2mac_dat_data87_d1 <= sc2mac_dat_data87_d0; end else if ((sc2mac_dat_mask_d0[87]) == 1'b0) begin end else begin sc2mac_dat_data87_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[88]) == 1'b1) begin sc2mac_dat_data88_d1 <= sc2mac_dat_data88_d0; end else if ((sc2mac_dat_mask_d0[88]) == 1'b0) begin end else begin sc2mac_dat_data88_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[89]) == 1'b1) begin sc2mac_dat_data89_d1 <= sc2mac_dat_data89_d0; end else if ((sc2mac_dat_mask_d0[89]) == 1'b0) begin end else begin sc2mac_dat_data89_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[90]) == 1'b1) begin sc2mac_dat_data90_d1 <= sc2mac_dat_data90_d0; end else if ((sc2mac_dat_mask_d0[90]) == 1'b0) begin end else begin sc2mac_dat_data90_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[91]) == 1'b1) begin sc2mac_dat_data91_d1 <= sc2mac_dat_data91_d0; end else if ((sc2mac_dat_mask_d0[91]) == 1'b0) begin end else begin sc2mac_dat_data91_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[92]) == 1'b1) begin sc2mac_dat_data92_d1 <= sc2mac_dat_data92_d0; end else if ((sc2mac_dat_mask_d0[92]) == 1'b0) begin end else begin sc2mac_dat_data92_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[93]) == 1'b1) begin sc2mac_dat_data93_d1 <= sc2mac_dat_data93_d0; end else if ((sc2mac_dat_mask_d0[93]) == 1'b0) begin end else begin sc2mac_dat_data93_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[94]) == 1'b1) begin sc2mac_dat_data94_d1 <= sc2mac_dat_data94_d0; end else if ((sc2mac_dat_mask_d0[94]) == 1'b0) begin end else begin sc2mac_dat_data94_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[95]) == 1'b1) begin sc2mac_dat_data95_d1 <= sc2mac_dat_data95_d0; end else if ((sc2mac_dat_mask_d0[95]) == 1'b0) begin end else begin sc2mac_dat_data95_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[96]) == 1'b1) begin sc2mac_dat_data96_d1 <= sc2mac_dat_data96_d0; end else if ((sc2mac_dat_mask_d0[96]) == 1'b0) begin end else begin sc2mac_dat_data96_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[97]) == 1'b1) begin sc2mac_dat_data97_d1 <= sc2mac_dat_data97_d0; end else if ((sc2mac_dat_mask_d0[97]) == 1'b0) begin end else begin sc2mac_dat_data97_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[98]) == 1'b1) begin sc2mac_dat_data98_d1 <= sc2mac_dat_data98_d0; end else if ((sc2mac_dat_mask_d0[98]) == 1'b0) begin end else begin sc2mac_dat_data98_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[99]) == 1'b1) begin sc2mac_dat_data99_d1 <= sc2mac_dat_data99_d0; end else if ((sc2mac_dat_mask_d0[99]) == 1'b0) begin end else begin sc2mac_dat_data99_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[100]) == 1'b1) begin sc2mac_dat_data100_d1 <= sc2mac_dat_data100_d0; end else if ((sc2mac_dat_mask_d0[100]) == 1'b0) begin end else begin sc2mac_dat_data100_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[101]) == 1'b1) begin sc2mac_dat_data101_d1 <= sc2mac_dat_data101_d0; end else if ((sc2mac_dat_mask_d0[101]) == 1'b0) begin end else begin sc2mac_dat_data101_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[102]) == 1'b1) begin sc2mac_dat_data102_d1 <= sc2mac_dat_data102_d0; end else if ((sc2mac_dat_mask_d0[102]) == 1'b0) begin end else begin sc2mac_dat_data102_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[103]) == 1'b1) begin sc2mac_dat_data103_d1 <= sc2mac_dat_data103_d0; end else if ((sc2mac_dat_mask_d0[103]) == 1'b0) begin end else begin sc2mac_dat_data103_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[104]) == 1'b1) begin sc2mac_dat_data104_d1 <= sc2mac_dat_data104_d0; end else if ((sc2mac_dat_mask_d0[104]) == 1'b0) begin end else begin sc2mac_dat_data104_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[105]) == 1'b1) begin sc2mac_dat_data105_d1 <= sc2mac_dat_data105_d0; end else if ((sc2mac_dat_mask_d0[105]) == 1'b0) begin end else begin sc2mac_dat_data105_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[106]) == 1'b1) begin sc2mac_dat_data106_d1 <= sc2mac_dat_data106_d0; end else if ((sc2mac_dat_mask_d0[106]) == 1'b0) begin end else begin sc2mac_dat_data106_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[107]) == 1'b1) begin sc2mac_dat_data107_d1 <= sc2mac_dat_data107_d0; end else if ((sc2mac_dat_mask_d0[107]) == 1'b0) begin end else begin sc2mac_dat_data107_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[108]) == 1'b1) begin sc2mac_dat_data108_d1 <= sc2mac_dat_data108_d0; end else if ((sc2mac_dat_mask_d0[108]) == 1'b0) begin end else begin sc2mac_dat_data108_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[109]) == 1'b1) begin sc2mac_dat_data109_d1 <= sc2mac_dat_data109_d0; end else if ((sc2mac_dat_mask_d0[109]) == 1'b0) begin end else begin sc2mac_dat_data109_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[110]) == 1'b1) begin sc2mac_dat_data110_d1 <= sc2mac_dat_data110_d0; end else if ((sc2mac_dat_mask_d0[110]) == 1'b0) begin end else begin sc2mac_dat_data110_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[111]) == 1'b1) begin sc2mac_dat_data111_d1 <= sc2mac_dat_data111_d0; end else if ((sc2mac_dat_mask_d0[111]) == 1'b0) begin end else begin sc2mac_dat_data111_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[112]) == 1'b1) begin sc2mac_dat_data112_d1 <= sc2mac_dat_data112_d0; end else if ((sc2mac_dat_mask_d0[112]) == 1'b0) begin end else begin sc2mac_dat_data112_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[113]) == 1'b1) begin sc2mac_dat_data113_d1 <= sc2mac_dat_data113_d0; end else if ((sc2mac_dat_mask_d0[113]) == 1'b0) begin end else begin sc2mac_dat_data113_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[114]) == 1'b1) begin sc2mac_dat_data114_d1 <= sc2mac_dat_data114_d0; end else if ((sc2mac_dat_mask_d0[114]) == 1'b0) begin end else begin sc2mac_dat_data114_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[115]) == 1'b1) begin sc2mac_dat_data115_d1 <= sc2mac_dat_data115_d0; end else if ((sc2mac_dat_mask_d0[115]) == 1'b0) begin end else begin sc2mac_dat_data115_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[116]) == 1'b1) begin sc2mac_dat_data116_d1 <= sc2mac_dat_data116_d0; end else if ((sc2mac_dat_mask_d0[116]) == 1'b0) begin end else begin sc2mac_dat_data116_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[117]) == 1'b1) begin sc2mac_dat_data117_d1 <= sc2mac_dat_data117_d0; end else if ((sc2mac_dat_mask_d0[117]) == 1'b0) begin end else begin sc2mac_dat_data117_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[118]) == 1'b1) begin sc2mac_dat_data118_d1 <= sc2mac_dat_data118_d0; end else if ((sc2mac_dat_mask_d0[118]) == 1'b0) begin end else begin sc2mac_dat_data118_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[119]) == 1'b1) begin sc2mac_dat_data119_d1 <= sc2mac_dat_data119_d0; end else if ((sc2mac_dat_mask_d0[119]) == 1'b0) begin end else begin sc2mac_dat_data119_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[120]) == 1'b1) begin sc2mac_dat_data120_d1 <= sc2mac_dat_data120_d0; end else if ((sc2mac_dat_mask_d0[120]) == 1'b0) begin end else begin sc2mac_dat_data120_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[121]) == 1'b1) begin sc2mac_dat_data121_d1 <= sc2mac_dat_data121_d0; end else if ((sc2mac_dat_mask_d0[121]) == 1'b0) begin end else begin sc2mac_dat_data121_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[122]) == 1'b1) begin sc2mac_dat_data122_d1 <= sc2mac_dat_data122_d0; end else if ((sc2mac_dat_mask_d0[122]) == 1'b0) begin end else begin sc2mac_dat_data122_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[123]) == 1'b1) begin sc2mac_dat_data123_d1 <= sc2mac_dat_data123_d0; end else if ((sc2mac_dat_mask_d0[123]) == 1'b0) begin end else begin sc2mac_dat_data123_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[124]) == 1'b1) begin sc2mac_dat_data124_d1 <= sc2mac_dat_data124_d0; end else if ((sc2mac_dat_mask_d0[124]) == 1'b0) begin end else begin sc2mac_dat_data124_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[125]) == 1'b1) begin sc2mac_dat_data125_d1 <= sc2mac_dat_data125_d0; end else if ((sc2mac_dat_mask_d0[125]) == 1'b0) begin end else begin sc2mac_dat_data125_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[126]) == 1'b1) begin sc2mac_dat_data126_d1 <= sc2mac_dat_data126_d0; end else if ((sc2mac_dat_mask_d0[126]) == 1'b0) begin end else begin sc2mac_dat_data126_d1 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d0[127]) == 1'b1) begin sc2mac_dat_data127_d1 <= sc2mac_dat_data127_d0; end else if ((sc2mac_dat_mask_d0[127]) == 1'b0) begin end else begin sc2mac_dat_data127_d1 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_pvld_d2 <= 1'b0; end else begin sc2mac_wt_pvld_d2 <= sc2mac_wt_pvld_d1; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_sel_d2 <= {8{1'b0}}; end else begin if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b1) begin sc2mac_wt_sel_d2 <= sc2mac_wt_sel_d1; end else if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b0) begin end else begin sc2mac_wt_sel_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_5x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_wt_mask_d2 <= {128{1'b0}}; end else begin if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b1) begin sc2mac_wt_mask_d2 <= sc2mac_wt_mask_d1; end else if ((sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2) == 1'b0) begin end else begin sc2mac_wt_mask_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_6x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_wt_pvld_d1 | sc2mac_wt_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[0]) == 1'b1) begin sc2mac_wt_data0_d2 <= sc2mac_wt_data0_d1; end else if ((sc2mac_wt_mask_d1[0]) == 1'b0) begin end else begin sc2mac_wt_data0_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[1]) == 1'b1) begin sc2mac_wt_data1_d2 <= sc2mac_wt_data1_d1; end else if ((sc2mac_wt_mask_d1[1]) == 1'b0) begin end else begin sc2mac_wt_data1_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[2]) == 1'b1) begin sc2mac_wt_data2_d2 <= sc2mac_wt_data2_d1; end else if ((sc2mac_wt_mask_d1[2]) == 1'b0) begin end else begin sc2mac_wt_data2_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[3]) == 1'b1) begin sc2mac_wt_data3_d2 <= sc2mac_wt_data3_d1; end else if ((sc2mac_wt_mask_d1[3]) == 1'b0) begin end else begin sc2mac_wt_data3_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[4]) == 1'b1) begin sc2mac_wt_data4_d2 <= sc2mac_wt_data4_d1; end else if ((sc2mac_wt_mask_d1[4]) == 1'b0) begin end else begin sc2mac_wt_data4_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[5]) == 1'b1) begin sc2mac_wt_data5_d2 <= sc2mac_wt_data5_d1; end else if ((sc2mac_wt_mask_d1[5]) == 1'b0) begin end else begin sc2mac_wt_data5_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[6]) == 1'b1) begin sc2mac_wt_data6_d2 <= sc2mac_wt_data6_d1; end else if ((sc2mac_wt_mask_d1[6]) == 1'b0) begin end else begin sc2mac_wt_data6_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[7]) == 1'b1) begin sc2mac_wt_data7_d2 <= sc2mac_wt_data7_d1; end else if ((sc2mac_wt_mask_d1[7]) == 1'b0) begin end else begin sc2mac_wt_data7_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[8]) == 1'b1) begin sc2mac_wt_data8_d2 <= sc2mac_wt_data8_d1; end else if ((sc2mac_wt_mask_d1[8]) == 1'b0) begin end else begin sc2mac_wt_data8_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[9]) == 1'b1) begin sc2mac_wt_data9_d2 <= sc2mac_wt_data9_d1; end else if ((sc2mac_wt_mask_d1[9]) == 1'b0) begin end else begin sc2mac_wt_data9_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[10]) == 1'b1) begin sc2mac_wt_data10_d2 <= sc2mac_wt_data10_d1; end else if ((sc2mac_wt_mask_d1[10]) == 1'b0) begin end else begin sc2mac_wt_data10_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[11]) == 1'b1) begin sc2mac_wt_data11_d2 <= sc2mac_wt_data11_d1; end else if ((sc2mac_wt_mask_d1[11]) == 1'b0) begin end else begin sc2mac_wt_data11_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[12]) == 1'b1) begin sc2mac_wt_data12_d2 <= sc2mac_wt_data12_d1; end else if ((sc2mac_wt_mask_d1[12]) == 1'b0) begin end else begin sc2mac_wt_data12_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[13]) == 1'b1) begin sc2mac_wt_data13_d2 <= sc2mac_wt_data13_d1; end else if ((sc2mac_wt_mask_d1[13]) == 1'b0) begin end else begin sc2mac_wt_data13_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[14]) == 1'b1) begin sc2mac_wt_data14_d2 <= sc2mac_wt_data14_d1; end else if ((sc2mac_wt_mask_d1[14]) == 1'b0) begin end else begin sc2mac_wt_data14_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[15]) == 1'b1) begin sc2mac_wt_data15_d2 <= sc2mac_wt_data15_d1; end else if ((sc2mac_wt_mask_d1[15]) == 1'b0) begin end else begin sc2mac_wt_data15_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[16]) == 1'b1) begin sc2mac_wt_data16_d2 <= sc2mac_wt_data16_d1; end else if ((sc2mac_wt_mask_d1[16]) == 1'b0) begin end else begin sc2mac_wt_data16_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[17]) == 1'b1) begin sc2mac_wt_data17_d2 <= sc2mac_wt_data17_d1; end else if ((sc2mac_wt_mask_d1[17]) == 1'b0) begin end else begin sc2mac_wt_data17_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[18]) == 1'b1) begin sc2mac_wt_data18_d2 <= sc2mac_wt_data18_d1; end else if ((sc2mac_wt_mask_d1[18]) == 1'b0) begin end else begin sc2mac_wt_data18_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[19]) == 1'b1) begin sc2mac_wt_data19_d2 <= sc2mac_wt_data19_d1; end else if ((sc2mac_wt_mask_d1[19]) == 1'b0) begin end else begin sc2mac_wt_data19_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[20]) == 1'b1) begin sc2mac_wt_data20_d2 <= sc2mac_wt_data20_d1; end else if ((sc2mac_wt_mask_d1[20]) == 1'b0) begin end else begin sc2mac_wt_data20_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[21]) == 1'b1) begin sc2mac_wt_data21_d2 <= sc2mac_wt_data21_d1; end else if ((sc2mac_wt_mask_d1[21]) == 1'b0) begin end else begin sc2mac_wt_data21_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[22]) == 1'b1) begin sc2mac_wt_data22_d2 <= sc2mac_wt_data22_d1; end else if ((sc2mac_wt_mask_d1[22]) == 1'b0) begin end else begin sc2mac_wt_data22_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[23]) == 1'b1) begin sc2mac_wt_data23_d2 <= sc2mac_wt_data23_d1; end else if ((sc2mac_wt_mask_d1[23]) == 1'b0) begin end else begin sc2mac_wt_data23_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[24]) == 1'b1) begin sc2mac_wt_data24_d2 <= sc2mac_wt_data24_d1; end else if ((sc2mac_wt_mask_d1[24]) == 1'b0) begin end else begin sc2mac_wt_data24_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[25]) == 1'b1) begin sc2mac_wt_data25_d2 <= sc2mac_wt_data25_d1; end else if ((sc2mac_wt_mask_d1[25]) == 1'b0) begin end else begin sc2mac_wt_data25_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[26]) == 1'b1) begin sc2mac_wt_data26_d2 <= sc2mac_wt_data26_d1; end else if ((sc2mac_wt_mask_d1[26]) == 1'b0) begin end else begin sc2mac_wt_data26_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[27]) == 1'b1) begin sc2mac_wt_data27_d2 <= sc2mac_wt_data27_d1; end else if ((sc2mac_wt_mask_d1[27]) == 1'b0) begin end else begin sc2mac_wt_data27_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[28]) == 1'b1) begin sc2mac_wt_data28_d2 <= sc2mac_wt_data28_d1; end else if ((sc2mac_wt_mask_d1[28]) == 1'b0) begin end else begin sc2mac_wt_data28_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[29]) == 1'b1) begin sc2mac_wt_data29_d2 <= sc2mac_wt_data29_d1; end else if ((sc2mac_wt_mask_d1[29]) == 1'b0) begin end else begin sc2mac_wt_data29_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[30]) == 1'b1) begin sc2mac_wt_data30_d2 <= sc2mac_wt_data30_d1; end else if ((sc2mac_wt_mask_d1[30]) == 1'b0) begin end else begin sc2mac_wt_data30_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[31]) == 1'b1) begin sc2mac_wt_data31_d2 <= sc2mac_wt_data31_d1; end else if ((sc2mac_wt_mask_d1[31]) == 1'b0) begin end else begin sc2mac_wt_data31_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[32]) == 1'b1) begin sc2mac_wt_data32_d2 <= sc2mac_wt_data32_d1; end else if ((sc2mac_wt_mask_d1[32]) == 1'b0) begin end else begin sc2mac_wt_data32_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[33]) == 1'b1) begin sc2mac_wt_data33_d2 <= sc2mac_wt_data33_d1; end else if ((sc2mac_wt_mask_d1[33]) == 1'b0) begin end else begin sc2mac_wt_data33_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[34]) == 1'b1) begin sc2mac_wt_data34_d2 <= sc2mac_wt_data34_d1; end else if ((sc2mac_wt_mask_d1[34]) == 1'b0) begin end else begin sc2mac_wt_data34_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[35]) == 1'b1) begin sc2mac_wt_data35_d2 <= sc2mac_wt_data35_d1; end else if ((sc2mac_wt_mask_d1[35]) == 1'b0) begin end else begin sc2mac_wt_data35_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[36]) == 1'b1) begin sc2mac_wt_data36_d2 <= sc2mac_wt_data36_d1; end else if ((sc2mac_wt_mask_d1[36]) == 1'b0) begin end else begin sc2mac_wt_data36_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[37]) == 1'b1) begin sc2mac_wt_data37_d2 <= sc2mac_wt_data37_d1; end else if ((sc2mac_wt_mask_d1[37]) == 1'b0) begin end else begin sc2mac_wt_data37_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[38]) == 1'b1) begin sc2mac_wt_data38_d2 <= sc2mac_wt_data38_d1; end else if ((sc2mac_wt_mask_d1[38]) == 1'b0) begin end else begin sc2mac_wt_data38_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[39]) == 1'b1) begin sc2mac_wt_data39_d2 <= sc2mac_wt_data39_d1; end else if ((sc2mac_wt_mask_d1[39]) == 1'b0) begin end else begin sc2mac_wt_data39_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[40]) == 1'b1) begin sc2mac_wt_data40_d2 <= sc2mac_wt_data40_d1; end else if ((sc2mac_wt_mask_d1[40]) == 1'b0) begin end else begin sc2mac_wt_data40_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[41]) == 1'b1) begin sc2mac_wt_data41_d2 <= sc2mac_wt_data41_d1; end else if ((sc2mac_wt_mask_d1[41]) == 1'b0) begin end else begin sc2mac_wt_data41_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[42]) == 1'b1) begin sc2mac_wt_data42_d2 <= sc2mac_wt_data42_d1; end else if ((sc2mac_wt_mask_d1[42]) == 1'b0) begin end else begin sc2mac_wt_data42_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[43]) == 1'b1) begin sc2mac_wt_data43_d2 <= sc2mac_wt_data43_d1; end else if ((sc2mac_wt_mask_d1[43]) == 1'b0) begin end else begin sc2mac_wt_data43_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[44]) == 1'b1) begin sc2mac_wt_data44_d2 <= sc2mac_wt_data44_d1; end else if ((sc2mac_wt_mask_d1[44]) == 1'b0) begin end else begin sc2mac_wt_data44_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[45]) == 1'b1) begin sc2mac_wt_data45_d2 <= sc2mac_wt_data45_d1; end else if ((sc2mac_wt_mask_d1[45]) == 1'b0) begin end else begin sc2mac_wt_data45_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[46]) == 1'b1) begin sc2mac_wt_data46_d2 <= sc2mac_wt_data46_d1; end else if ((sc2mac_wt_mask_d1[46]) == 1'b0) begin end else begin sc2mac_wt_data46_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[47]) == 1'b1) begin sc2mac_wt_data47_d2 <= sc2mac_wt_data47_d1; end else if ((sc2mac_wt_mask_d1[47]) == 1'b0) begin end else begin sc2mac_wt_data47_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[48]) == 1'b1) begin sc2mac_wt_data48_d2 <= sc2mac_wt_data48_d1; end else if ((sc2mac_wt_mask_d1[48]) == 1'b0) begin end else begin sc2mac_wt_data48_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[49]) == 1'b1) begin sc2mac_wt_data49_d2 <= sc2mac_wt_data49_d1; end else if ((sc2mac_wt_mask_d1[49]) == 1'b0) begin end else begin sc2mac_wt_data49_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[50]) == 1'b1) begin sc2mac_wt_data50_d2 <= sc2mac_wt_data50_d1; end else if ((sc2mac_wt_mask_d1[50]) == 1'b0) begin end else begin sc2mac_wt_data50_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[51]) == 1'b1) begin sc2mac_wt_data51_d2 <= sc2mac_wt_data51_d1; end else if ((sc2mac_wt_mask_d1[51]) == 1'b0) begin end else begin sc2mac_wt_data51_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[52]) == 1'b1) begin sc2mac_wt_data52_d2 <= sc2mac_wt_data52_d1; end else if ((sc2mac_wt_mask_d1[52]) == 1'b0) begin end else begin sc2mac_wt_data52_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[53]) == 1'b1) begin sc2mac_wt_data53_d2 <= sc2mac_wt_data53_d1; end else if ((sc2mac_wt_mask_d1[53]) == 1'b0) begin end else begin sc2mac_wt_data53_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[54]) == 1'b1) begin sc2mac_wt_data54_d2 <= sc2mac_wt_data54_d1; end else if ((sc2mac_wt_mask_d1[54]) == 1'b0) begin end else begin sc2mac_wt_data54_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[55]) == 1'b1) begin sc2mac_wt_data55_d2 <= sc2mac_wt_data55_d1; end else if ((sc2mac_wt_mask_d1[55]) == 1'b0) begin end else begin sc2mac_wt_data55_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[56]) == 1'b1) begin sc2mac_wt_data56_d2 <= sc2mac_wt_data56_d1; end else if ((sc2mac_wt_mask_d1[56]) == 1'b0) begin end else begin sc2mac_wt_data56_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[57]) == 1'b1) begin sc2mac_wt_data57_d2 <= sc2mac_wt_data57_d1; end else if ((sc2mac_wt_mask_d1[57]) == 1'b0) begin end else begin sc2mac_wt_data57_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[58]) == 1'b1) begin sc2mac_wt_data58_d2 <= sc2mac_wt_data58_d1; end else if ((sc2mac_wt_mask_d1[58]) == 1'b0) begin end else begin sc2mac_wt_data58_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[59]) == 1'b1) begin sc2mac_wt_data59_d2 <= sc2mac_wt_data59_d1; end else if ((sc2mac_wt_mask_d1[59]) == 1'b0) begin end else begin sc2mac_wt_data59_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[60]) == 1'b1) begin sc2mac_wt_data60_d2 <= sc2mac_wt_data60_d1; end else if ((sc2mac_wt_mask_d1[60]) == 1'b0) begin end else begin sc2mac_wt_data60_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[61]) == 1'b1) begin sc2mac_wt_data61_d2 <= sc2mac_wt_data61_d1; end else if ((sc2mac_wt_mask_d1[61]) == 1'b0) begin end else begin sc2mac_wt_data61_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[62]) == 1'b1) begin sc2mac_wt_data62_d2 <= sc2mac_wt_data62_d1; end else if ((sc2mac_wt_mask_d1[62]) == 1'b0) begin end else begin sc2mac_wt_data62_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[63]) == 1'b1) begin sc2mac_wt_data63_d2 <= sc2mac_wt_data63_d1; end else if ((sc2mac_wt_mask_d1[63]) == 1'b0) begin end else begin sc2mac_wt_data63_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[64]) == 1'b1) begin sc2mac_wt_data64_d2 <= sc2mac_wt_data64_d1; end else if ((sc2mac_wt_mask_d1[64]) == 1'b0) begin end else begin sc2mac_wt_data64_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[65]) == 1'b1) begin sc2mac_wt_data65_d2 <= sc2mac_wt_data65_d1; end else if ((sc2mac_wt_mask_d1[65]) == 1'b0) begin end else begin sc2mac_wt_data65_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[66]) == 1'b1) begin sc2mac_wt_data66_d2 <= sc2mac_wt_data66_d1; end else if ((sc2mac_wt_mask_d1[66]) == 1'b0) begin end else begin sc2mac_wt_data66_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[67]) == 1'b1) begin sc2mac_wt_data67_d2 <= sc2mac_wt_data67_d1; end else if ((sc2mac_wt_mask_d1[67]) == 1'b0) begin end else begin sc2mac_wt_data67_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[68]) == 1'b1) begin sc2mac_wt_data68_d2 <= sc2mac_wt_data68_d1; end else if ((sc2mac_wt_mask_d1[68]) == 1'b0) begin end else begin sc2mac_wt_data68_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[69]) == 1'b1) begin sc2mac_wt_data69_d2 <= sc2mac_wt_data69_d1; end else if ((sc2mac_wt_mask_d1[69]) == 1'b0) begin end else begin sc2mac_wt_data69_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[70]) == 1'b1) begin sc2mac_wt_data70_d2 <= sc2mac_wt_data70_d1; end else if ((sc2mac_wt_mask_d1[70]) == 1'b0) begin end else begin sc2mac_wt_data70_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[71]) == 1'b1) begin sc2mac_wt_data71_d2 <= sc2mac_wt_data71_d1; end else if ((sc2mac_wt_mask_d1[71]) == 1'b0) begin end else begin sc2mac_wt_data71_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[72]) == 1'b1) begin sc2mac_wt_data72_d2 <= sc2mac_wt_data72_d1; end else if ((sc2mac_wt_mask_d1[72]) == 1'b0) begin end else begin sc2mac_wt_data72_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[73]) == 1'b1) begin sc2mac_wt_data73_d2 <= sc2mac_wt_data73_d1; end else if ((sc2mac_wt_mask_d1[73]) == 1'b0) begin end else begin sc2mac_wt_data73_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[74]) == 1'b1) begin sc2mac_wt_data74_d2 <= sc2mac_wt_data74_d1; end else if ((sc2mac_wt_mask_d1[74]) == 1'b0) begin end else begin sc2mac_wt_data74_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[75]) == 1'b1) begin sc2mac_wt_data75_d2 <= sc2mac_wt_data75_d1; end else if ((sc2mac_wt_mask_d1[75]) == 1'b0) begin end else begin sc2mac_wt_data75_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[76]) == 1'b1) begin sc2mac_wt_data76_d2 <= sc2mac_wt_data76_d1; end else if ((sc2mac_wt_mask_d1[76]) == 1'b0) begin end else begin sc2mac_wt_data76_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[77]) == 1'b1) begin sc2mac_wt_data77_d2 <= sc2mac_wt_data77_d1; end else if ((sc2mac_wt_mask_d1[77]) == 1'b0) begin end else begin sc2mac_wt_data77_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[78]) == 1'b1) begin sc2mac_wt_data78_d2 <= sc2mac_wt_data78_d1; end else if ((sc2mac_wt_mask_d1[78]) == 1'b0) begin end else begin sc2mac_wt_data78_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[79]) == 1'b1) begin sc2mac_wt_data79_d2 <= sc2mac_wt_data79_d1; end else if ((sc2mac_wt_mask_d1[79]) == 1'b0) begin end else begin sc2mac_wt_data79_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[80]) == 1'b1) begin sc2mac_wt_data80_d2 <= sc2mac_wt_data80_d1; end else if ((sc2mac_wt_mask_d1[80]) == 1'b0) begin end else begin sc2mac_wt_data80_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[81]) == 1'b1) begin sc2mac_wt_data81_d2 <= sc2mac_wt_data81_d1; end else if ((sc2mac_wt_mask_d1[81]) == 1'b0) begin end else begin sc2mac_wt_data81_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[82]) == 1'b1) begin sc2mac_wt_data82_d2 <= sc2mac_wt_data82_d1; end else if ((sc2mac_wt_mask_d1[82]) == 1'b0) begin end else begin sc2mac_wt_data82_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[83]) == 1'b1) begin sc2mac_wt_data83_d2 <= sc2mac_wt_data83_d1; end else if ((sc2mac_wt_mask_d1[83]) == 1'b0) begin end else begin sc2mac_wt_data83_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[84]) == 1'b1) begin sc2mac_wt_data84_d2 <= sc2mac_wt_data84_d1; end else if ((sc2mac_wt_mask_d1[84]) == 1'b0) begin end else begin sc2mac_wt_data84_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[85]) == 1'b1) begin sc2mac_wt_data85_d2 <= sc2mac_wt_data85_d1; end else if ((sc2mac_wt_mask_d1[85]) == 1'b0) begin end else begin sc2mac_wt_data85_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[86]) == 1'b1) begin sc2mac_wt_data86_d2 <= sc2mac_wt_data86_d1; end else if ((sc2mac_wt_mask_d1[86]) == 1'b0) begin end else begin sc2mac_wt_data86_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[87]) == 1'b1) begin sc2mac_wt_data87_d2 <= sc2mac_wt_data87_d1; end else if ((sc2mac_wt_mask_d1[87]) == 1'b0) begin end else begin sc2mac_wt_data87_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[88]) == 1'b1) begin sc2mac_wt_data88_d2 <= sc2mac_wt_data88_d1; end else if ((sc2mac_wt_mask_d1[88]) == 1'b0) begin end else begin sc2mac_wt_data88_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[89]) == 1'b1) begin sc2mac_wt_data89_d2 <= sc2mac_wt_data89_d1; end else if ((sc2mac_wt_mask_d1[89]) == 1'b0) begin end else begin sc2mac_wt_data89_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[90]) == 1'b1) begin sc2mac_wt_data90_d2 <= sc2mac_wt_data90_d1; end else if ((sc2mac_wt_mask_d1[90]) == 1'b0) begin end else begin sc2mac_wt_data90_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[91]) == 1'b1) begin sc2mac_wt_data91_d2 <= sc2mac_wt_data91_d1; end else if ((sc2mac_wt_mask_d1[91]) == 1'b0) begin end else begin sc2mac_wt_data91_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[92]) == 1'b1) begin sc2mac_wt_data92_d2 <= sc2mac_wt_data92_d1; end else if ((sc2mac_wt_mask_d1[92]) == 1'b0) begin end else begin sc2mac_wt_data92_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[93]) == 1'b1) begin sc2mac_wt_data93_d2 <= sc2mac_wt_data93_d1; end else if ((sc2mac_wt_mask_d1[93]) == 1'b0) begin end else begin sc2mac_wt_data93_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[94]) == 1'b1) begin sc2mac_wt_data94_d2 <= sc2mac_wt_data94_d1; end else if ((sc2mac_wt_mask_d1[94]) == 1'b0) begin end else begin sc2mac_wt_data94_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[95]) == 1'b1) begin sc2mac_wt_data95_d2 <= sc2mac_wt_data95_d1; end else if ((sc2mac_wt_mask_d1[95]) == 1'b0) begin end else begin sc2mac_wt_data95_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[96]) == 1'b1) begin sc2mac_wt_data96_d2 <= sc2mac_wt_data96_d1; end else if ((sc2mac_wt_mask_d1[96]) == 1'b0) begin end else begin sc2mac_wt_data96_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[97]) == 1'b1) begin sc2mac_wt_data97_d2 <= sc2mac_wt_data97_d1; end else if ((sc2mac_wt_mask_d1[97]) == 1'b0) begin end else begin sc2mac_wt_data97_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[98]) == 1'b1) begin sc2mac_wt_data98_d2 <= sc2mac_wt_data98_d1; end else if ((sc2mac_wt_mask_d1[98]) == 1'b0) begin end else begin sc2mac_wt_data98_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[99]) == 1'b1) begin sc2mac_wt_data99_d2 <= sc2mac_wt_data99_d1; end else if ((sc2mac_wt_mask_d1[99]) == 1'b0) begin end else begin sc2mac_wt_data99_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[100]) == 1'b1) begin sc2mac_wt_data100_d2 <= sc2mac_wt_data100_d1; end else if ((sc2mac_wt_mask_d1[100]) == 1'b0) begin end else begin sc2mac_wt_data100_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[101]) == 1'b1) begin sc2mac_wt_data101_d2 <= sc2mac_wt_data101_d1; end else if ((sc2mac_wt_mask_d1[101]) == 1'b0) begin end else begin sc2mac_wt_data101_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[102]) == 1'b1) begin sc2mac_wt_data102_d2 <= sc2mac_wt_data102_d1; end else if ((sc2mac_wt_mask_d1[102]) == 1'b0) begin end else begin sc2mac_wt_data102_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[103]) == 1'b1) begin sc2mac_wt_data103_d2 <= sc2mac_wt_data103_d1; end else if ((sc2mac_wt_mask_d1[103]) == 1'b0) begin end else begin sc2mac_wt_data103_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[104]) == 1'b1) begin sc2mac_wt_data104_d2 <= sc2mac_wt_data104_d1; end else if ((sc2mac_wt_mask_d1[104]) == 1'b0) begin end else begin sc2mac_wt_data104_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[105]) == 1'b1) begin sc2mac_wt_data105_d2 <= sc2mac_wt_data105_d1; end else if ((sc2mac_wt_mask_d1[105]) == 1'b0) begin end else begin sc2mac_wt_data105_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[106]) == 1'b1) begin sc2mac_wt_data106_d2 <= sc2mac_wt_data106_d1; end else if ((sc2mac_wt_mask_d1[106]) == 1'b0) begin end else begin sc2mac_wt_data106_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[107]) == 1'b1) begin sc2mac_wt_data107_d2 <= sc2mac_wt_data107_d1; end else if ((sc2mac_wt_mask_d1[107]) == 1'b0) begin end else begin sc2mac_wt_data107_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[108]) == 1'b1) begin sc2mac_wt_data108_d2 <= sc2mac_wt_data108_d1; end else if ((sc2mac_wt_mask_d1[108]) == 1'b0) begin end else begin sc2mac_wt_data108_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[109]) == 1'b1) begin sc2mac_wt_data109_d2 <= sc2mac_wt_data109_d1; end else if ((sc2mac_wt_mask_d1[109]) == 1'b0) begin end else begin sc2mac_wt_data109_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[110]) == 1'b1) begin sc2mac_wt_data110_d2 <= sc2mac_wt_data110_d1; end else if ((sc2mac_wt_mask_d1[110]) == 1'b0) begin end else begin sc2mac_wt_data110_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[111]) == 1'b1) begin sc2mac_wt_data111_d2 <= sc2mac_wt_data111_d1; end else if ((sc2mac_wt_mask_d1[111]) == 1'b0) begin end else begin sc2mac_wt_data111_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[112]) == 1'b1) begin sc2mac_wt_data112_d2 <= sc2mac_wt_data112_d1; end else if ((sc2mac_wt_mask_d1[112]) == 1'b0) begin end else begin sc2mac_wt_data112_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[113]) == 1'b1) begin sc2mac_wt_data113_d2 <= sc2mac_wt_data113_d1; end else if ((sc2mac_wt_mask_d1[113]) == 1'b0) begin end else begin sc2mac_wt_data113_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[114]) == 1'b1) begin sc2mac_wt_data114_d2 <= sc2mac_wt_data114_d1; end else if ((sc2mac_wt_mask_d1[114]) == 1'b0) begin end else begin sc2mac_wt_data114_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[115]) == 1'b1) begin sc2mac_wt_data115_d2 <= sc2mac_wt_data115_d1; end else if ((sc2mac_wt_mask_d1[115]) == 1'b0) begin end else begin sc2mac_wt_data115_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[116]) == 1'b1) begin sc2mac_wt_data116_d2 <= sc2mac_wt_data116_d1; end else if ((sc2mac_wt_mask_d1[116]) == 1'b0) begin end else begin sc2mac_wt_data116_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[117]) == 1'b1) begin sc2mac_wt_data117_d2 <= sc2mac_wt_data117_d1; end else if ((sc2mac_wt_mask_d1[117]) == 1'b0) begin end else begin sc2mac_wt_data117_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[118]) == 1'b1) begin sc2mac_wt_data118_d2 <= sc2mac_wt_data118_d1; end else if ((sc2mac_wt_mask_d1[118]) == 1'b0) begin end else begin sc2mac_wt_data118_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[119]) == 1'b1) begin sc2mac_wt_data119_d2 <= sc2mac_wt_data119_d1; end else if ((sc2mac_wt_mask_d1[119]) == 1'b0) begin end else begin sc2mac_wt_data119_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[120]) == 1'b1) begin sc2mac_wt_data120_d2 <= sc2mac_wt_data120_d1; end else if ((sc2mac_wt_mask_d1[120]) == 1'b0) begin end else begin sc2mac_wt_data120_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[121]) == 1'b1) begin sc2mac_wt_data121_d2 <= sc2mac_wt_data121_d1; end else if ((sc2mac_wt_mask_d1[121]) == 1'b0) begin end else begin sc2mac_wt_data121_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[122]) == 1'b1) begin sc2mac_wt_data122_d2 <= sc2mac_wt_data122_d1; end else if ((sc2mac_wt_mask_d1[122]) == 1'b0) begin end else begin sc2mac_wt_data122_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[123]) == 1'b1) begin sc2mac_wt_data123_d2 <= sc2mac_wt_data123_d1; end else if ((sc2mac_wt_mask_d1[123]) == 1'b0) begin end else begin sc2mac_wt_data123_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[124]) == 1'b1) begin sc2mac_wt_data124_d2 <= sc2mac_wt_data124_d1; end else if ((sc2mac_wt_mask_d1[124]) == 1'b0) begin end else begin sc2mac_wt_data124_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[125]) == 1'b1) begin sc2mac_wt_data125_d2 <= sc2mac_wt_data125_d1; end else if ((sc2mac_wt_mask_d1[125]) == 1'b0) begin end else begin sc2mac_wt_data125_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[126]) == 1'b1) begin sc2mac_wt_data126_d2 <= sc2mac_wt_data126_d1; end else if ((sc2mac_wt_mask_d1[126]) == 1'b0) begin end else begin sc2mac_wt_data126_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_wt_mask_d1[127]) == 1'b1) begin sc2mac_wt_data127_d2 <= sc2mac_wt_data127_d1; end else if ((sc2mac_wt_mask_d1[127]) == 1'b0) begin end else begin sc2mac_wt_data127_d2 <= 'bx; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pvld_d2 <= 1'b0; end else begin sc2mac_dat_pvld_d2 <= sc2mac_dat_pvld_d1; end end always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_pd_d2 <= {9{1'b0}}; end else begin if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b1) begin sc2mac_dat_pd_d2 <= sc2mac_dat_pd_d1; end else if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b0) begin end else begin sc2mac_dat_pd_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_7x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk or negedge nvdla_core_rstn) begin if (!nvdla_core_rstn) begin sc2mac_dat_mask_d2 <= {128{1'b0}}; end else begin if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b1) begin sc2mac_dat_mask_d2 <= sc2mac_dat_mask_d1; end else if ((sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2) == 1'b0) begin end else begin sc2mac_dat_mask_d2 <= 'bx; end end end `ifdef SPYGLASS_ASSERT_ON `else `endif `ifdef ASSERT_ON `ifdef FV_ASSERT_ON `define ASSERT_RESET nvdla_core_rstn `else `ifdef SYNTHESIS `define ASSERT_RESET nvdla_core_rstn `else `ifdef ASSERT_OFF_RESET_IS_X `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b0 : nvdla_core_rstn) `else `define ASSERT_RESET ((1'bx === nvdla_core_rstn) ? 1'b1 : nvdla_core_rstn) `endif `endif `endif `ifndef SYNTHESIS nv_assert_no_x #(0,1,0,"No X's allowed on control signals") zzz_assert_no_x_8x (nvdla_core_clk, `ASSERT_RESET, 1'd1, (^(sc2mac_dat_pvld_d1 | sc2mac_dat_pvld_d2))); `endif `undef ASSERT_RESET `endif `ifdef SPYGLASS_ASSERT_ON `else `endif always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[0]) == 1'b1) begin sc2mac_dat_data0_d2 <= sc2mac_dat_data0_d1; end else if ((sc2mac_dat_mask_d1[0]) == 1'b0) begin end else begin sc2mac_dat_data0_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[1]) == 1'b1) begin sc2mac_dat_data1_d2 <= sc2mac_dat_data1_d1; end else if ((sc2mac_dat_mask_d1[1]) == 1'b0) begin end else begin sc2mac_dat_data1_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[2]) == 1'b1) begin sc2mac_dat_data2_d2 <= sc2mac_dat_data2_d1; end else if ((sc2mac_dat_mask_d1[2]) == 1'b0) begin end else begin sc2mac_dat_data2_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[3]) == 1'b1) begin sc2mac_dat_data3_d2 <= sc2mac_dat_data3_d1; end else if ((sc2mac_dat_mask_d1[3]) == 1'b0) begin end else begin sc2mac_dat_data3_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[4]) == 1'b1) begin sc2mac_dat_data4_d2 <= sc2mac_dat_data4_d1; end else if ((sc2mac_dat_mask_d1[4]) == 1'b0) begin end else begin sc2mac_dat_data4_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[5]) == 1'b1) begin sc2mac_dat_data5_d2 <= sc2mac_dat_data5_d1; end else if ((sc2mac_dat_mask_d1[5]) == 1'b0) begin end else begin sc2mac_dat_data5_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[6]) == 1'b1) begin sc2mac_dat_data6_d2 <= sc2mac_dat_data6_d1; end else if ((sc2mac_dat_mask_d1[6]) == 1'b0) begin end else begin sc2mac_dat_data6_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[7]) == 1'b1) begin sc2mac_dat_data7_d2 <= sc2mac_dat_data7_d1; end else if ((sc2mac_dat_mask_d1[7]) == 1'b0) begin end else begin sc2mac_dat_data7_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[8]) == 1'b1) begin sc2mac_dat_data8_d2 <= sc2mac_dat_data8_d1; end else if ((sc2mac_dat_mask_d1[8]) == 1'b0) begin end else begin sc2mac_dat_data8_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[9]) == 1'b1) begin sc2mac_dat_data9_d2 <= sc2mac_dat_data9_d1; end else if ((sc2mac_dat_mask_d1[9]) == 1'b0) begin end else begin sc2mac_dat_data9_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[10]) == 1'b1) begin sc2mac_dat_data10_d2 <= sc2mac_dat_data10_d1; end else if ((sc2mac_dat_mask_d1[10]) == 1'b0) begin end else begin sc2mac_dat_data10_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[11]) == 1'b1) begin sc2mac_dat_data11_d2 <= sc2mac_dat_data11_d1; end else if ((sc2mac_dat_mask_d1[11]) == 1'b0) begin end else begin sc2mac_dat_data11_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[12]) == 1'b1) begin sc2mac_dat_data12_d2 <= sc2mac_dat_data12_d1; end else if ((sc2mac_dat_mask_d1[12]) == 1'b0) begin end else begin sc2mac_dat_data12_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[13]) == 1'b1) begin sc2mac_dat_data13_d2 <= sc2mac_dat_data13_d1; end else if ((sc2mac_dat_mask_d1[13]) == 1'b0) begin end else begin sc2mac_dat_data13_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[14]) == 1'b1) begin sc2mac_dat_data14_d2 <= sc2mac_dat_data14_d1; end else if ((sc2mac_dat_mask_d1[14]) == 1'b0) begin end else begin sc2mac_dat_data14_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[15]) == 1'b1) begin sc2mac_dat_data15_d2 <= sc2mac_dat_data15_d1; end else if ((sc2mac_dat_mask_d1[15]) == 1'b0) begin end else begin sc2mac_dat_data15_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[16]) == 1'b1) begin sc2mac_dat_data16_d2 <= sc2mac_dat_data16_d1; end else if ((sc2mac_dat_mask_d1[16]) == 1'b0) begin end else begin sc2mac_dat_data16_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[17]) == 1'b1) begin sc2mac_dat_data17_d2 <= sc2mac_dat_data17_d1; end else if ((sc2mac_dat_mask_d1[17]) == 1'b0) begin end else begin sc2mac_dat_data17_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[18]) == 1'b1) begin sc2mac_dat_data18_d2 <= sc2mac_dat_data18_d1; end else if ((sc2mac_dat_mask_d1[18]) == 1'b0) begin end else begin sc2mac_dat_data18_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[19]) == 1'b1) begin sc2mac_dat_data19_d2 <= sc2mac_dat_data19_d1; end else if ((sc2mac_dat_mask_d1[19]) == 1'b0) begin end else begin sc2mac_dat_data19_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[20]) == 1'b1) begin sc2mac_dat_data20_d2 <= sc2mac_dat_data20_d1; end else if ((sc2mac_dat_mask_d1[20]) == 1'b0) begin end else begin sc2mac_dat_data20_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[21]) == 1'b1) begin sc2mac_dat_data21_d2 <= sc2mac_dat_data21_d1; end else if ((sc2mac_dat_mask_d1[21]) == 1'b0) begin end else begin sc2mac_dat_data21_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[22]) == 1'b1) begin sc2mac_dat_data22_d2 <= sc2mac_dat_data22_d1; end else if ((sc2mac_dat_mask_d1[22]) == 1'b0) begin end else begin sc2mac_dat_data22_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[23]) == 1'b1) begin sc2mac_dat_data23_d2 <= sc2mac_dat_data23_d1; end else if ((sc2mac_dat_mask_d1[23]) == 1'b0) begin end else begin sc2mac_dat_data23_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[24]) == 1'b1) begin sc2mac_dat_data24_d2 <= sc2mac_dat_data24_d1; end else if ((sc2mac_dat_mask_d1[24]) == 1'b0) begin end else begin sc2mac_dat_data24_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[25]) == 1'b1) begin sc2mac_dat_data25_d2 <= sc2mac_dat_data25_d1; end else if ((sc2mac_dat_mask_d1[25]) == 1'b0) begin end else begin sc2mac_dat_data25_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[26]) == 1'b1) begin sc2mac_dat_data26_d2 <= sc2mac_dat_data26_d1; end else if ((sc2mac_dat_mask_d1[26]) == 1'b0) begin end else begin sc2mac_dat_data26_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[27]) == 1'b1) begin sc2mac_dat_data27_d2 <= sc2mac_dat_data27_d1; end else if ((sc2mac_dat_mask_d1[27]) == 1'b0) begin end else begin sc2mac_dat_data27_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[28]) == 1'b1) begin sc2mac_dat_data28_d2 <= sc2mac_dat_data28_d1; end else if ((sc2mac_dat_mask_d1[28]) == 1'b0) begin end else begin sc2mac_dat_data28_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[29]) == 1'b1) begin sc2mac_dat_data29_d2 <= sc2mac_dat_data29_d1; end else if ((sc2mac_dat_mask_d1[29]) == 1'b0) begin end else begin sc2mac_dat_data29_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[30]) == 1'b1) begin sc2mac_dat_data30_d2 <= sc2mac_dat_data30_d1; end else if ((sc2mac_dat_mask_d1[30]) == 1'b0) begin end else begin sc2mac_dat_data30_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[31]) == 1'b1) begin sc2mac_dat_data31_d2 <= sc2mac_dat_data31_d1; end else if ((sc2mac_dat_mask_d1[31]) == 1'b0) begin end else begin sc2mac_dat_data31_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[32]) == 1'b1) begin sc2mac_dat_data32_d2 <= sc2mac_dat_data32_d1; end else if ((sc2mac_dat_mask_d1[32]) == 1'b0) begin end else begin sc2mac_dat_data32_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[33]) == 1'b1) begin sc2mac_dat_data33_d2 <= sc2mac_dat_data33_d1; end else if ((sc2mac_dat_mask_d1[33]) == 1'b0) begin end else begin sc2mac_dat_data33_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[34]) == 1'b1) begin sc2mac_dat_data34_d2 <= sc2mac_dat_data34_d1; end else if ((sc2mac_dat_mask_d1[34]) == 1'b0) begin end else begin sc2mac_dat_data34_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[35]) == 1'b1) begin sc2mac_dat_data35_d2 <= sc2mac_dat_data35_d1; end else if ((sc2mac_dat_mask_d1[35]) == 1'b0) begin end else begin sc2mac_dat_data35_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[36]) == 1'b1) begin sc2mac_dat_data36_d2 <= sc2mac_dat_data36_d1; end else if ((sc2mac_dat_mask_d1[36]) == 1'b0) begin end else begin sc2mac_dat_data36_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[37]) == 1'b1) begin sc2mac_dat_data37_d2 <= sc2mac_dat_data37_d1; end else if ((sc2mac_dat_mask_d1[37]) == 1'b0) begin end else begin sc2mac_dat_data37_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[38]) == 1'b1) begin sc2mac_dat_data38_d2 <= sc2mac_dat_data38_d1; end else if ((sc2mac_dat_mask_d1[38]) == 1'b0) begin end else begin sc2mac_dat_data38_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[39]) == 1'b1) begin sc2mac_dat_data39_d2 <= sc2mac_dat_data39_d1; end else if ((sc2mac_dat_mask_d1[39]) == 1'b0) begin end else begin sc2mac_dat_data39_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[40]) == 1'b1) begin sc2mac_dat_data40_d2 <= sc2mac_dat_data40_d1; end else if ((sc2mac_dat_mask_d1[40]) == 1'b0) begin end else begin sc2mac_dat_data40_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[41]) == 1'b1) begin sc2mac_dat_data41_d2 <= sc2mac_dat_data41_d1; end else if ((sc2mac_dat_mask_d1[41]) == 1'b0) begin end else begin sc2mac_dat_data41_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[42]) == 1'b1) begin sc2mac_dat_data42_d2 <= sc2mac_dat_data42_d1; end else if ((sc2mac_dat_mask_d1[42]) == 1'b0) begin end else begin sc2mac_dat_data42_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[43]) == 1'b1) begin sc2mac_dat_data43_d2 <= sc2mac_dat_data43_d1; end else if ((sc2mac_dat_mask_d1[43]) == 1'b0) begin end else begin sc2mac_dat_data43_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[44]) == 1'b1) begin sc2mac_dat_data44_d2 <= sc2mac_dat_data44_d1; end else if ((sc2mac_dat_mask_d1[44]) == 1'b0) begin end else begin sc2mac_dat_data44_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[45]) == 1'b1) begin sc2mac_dat_data45_d2 <= sc2mac_dat_data45_d1; end else if ((sc2mac_dat_mask_d1[45]) == 1'b0) begin end else begin sc2mac_dat_data45_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[46]) == 1'b1) begin sc2mac_dat_data46_d2 <= sc2mac_dat_data46_d1; end else if ((sc2mac_dat_mask_d1[46]) == 1'b0) begin end else begin sc2mac_dat_data46_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[47]) == 1'b1) begin sc2mac_dat_data47_d2 <= sc2mac_dat_data47_d1; end else if ((sc2mac_dat_mask_d1[47]) == 1'b0) begin end else begin sc2mac_dat_data47_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[48]) == 1'b1) begin sc2mac_dat_data48_d2 <= sc2mac_dat_data48_d1; end else if ((sc2mac_dat_mask_d1[48]) == 1'b0) begin end else begin sc2mac_dat_data48_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[49]) == 1'b1) begin sc2mac_dat_data49_d2 <= sc2mac_dat_data49_d1; end else if ((sc2mac_dat_mask_d1[49]) == 1'b0) begin end else begin sc2mac_dat_data49_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[50]) == 1'b1) begin sc2mac_dat_data50_d2 <= sc2mac_dat_data50_d1; end else if ((sc2mac_dat_mask_d1[50]) == 1'b0) begin end else begin sc2mac_dat_data50_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[51]) == 1'b1) begin sc2mac_dat_data51_d2 <= sc2mac_dat_data51_d1; end else if ((sc2mac_dat_mask_d1[51]) == 1'b0) begin end else begin sc2mac_dat_data51_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[52]) == 1'b1) begin sc2mac_dat_data52_d2 <= sc2mac_dat_data52_d1; end else if ((sc2mac_dat_mask_d1[52]) == 1'b0) begin end else begin sc2mac_dat_data52_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[53]) == 1'b1) begin sc2mac_dat_data53_d2 <= sc2mac_dat_data53_d1; end else if ((sc2mac_dat_mask_d1[53]) == 1'b0) begin end else begin sc2mac_dat_data53_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[54]) == 1'b1) begin sc2mac_dat_data54_d2 <= sc2mac_dat_data54_d1; end else if ((sc2mac_dat_mask_d1[54]) == 1'b0) begin end else begin sc2mac_dat_data54_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[55]) == 1'b1) begin sc2mac_dat_data55_d2 <= sc2mac_dat_data55_d1; end else if ((sc2mac_dat_mask_d1[55]) == 1'b0) begin end else begin sc2mac_dat_data55_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[56]) == 1'b1) begin sc2mac_dat_data56_d2 <= sc2mac_dat_data56_d1; end else if ((sc2mac_dat_mask_d1[56]) == 1'b0) begin end else begin sc2mac_dat_data56_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[57]) == 1'b1) begin sc2mac_dat_data57_d2 <= sc2mac_dat_data57_d1; end else if ((sc2mac_dat_mask_d1[57]) == 1'b0) begin end else begin sc2mac_dat_data57_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[58]) == 1'b1) begin sc2mac_dat_data58_d2 <= sc2mac_dat_data58_d1; end else if ((sc2mac_dat_mask_d1[58]) == 1'b0) begin end else begin sc2mac_dat_data58_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[59]) == 1'b1) begin sc2mac_dat_data59_d2 <= sc2mac_dat_data59_d1; end else if ((sc2mac_dat_mask_d1[59]) == 1'b0) begin end else begin sc2mac_dat_data59_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[60]) == 1'b1) begin sc2mac_dat_data60_d2 <= sc2mac_dat_data60_d1; end else if ((sc2mac_dat_mask_d1[60]) == 1'b0) begin end else begin sc2mac_dat_data60_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[61]) == 1'b1) begin sc2mac_dat_data61_d2 <= sc2mac_dat_data61_d1; end else if ((sc2mac_dat_mask_d1[61]) == 1'b0) begin end else begin sc2mac_dat_data61_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[62]) == 1'b1) begin sc2mac_dat_data62_d2 <= sc2mac_dat_data62_d1; end else if ((sc2mac_dat_mask_d1[62]) == 1'b0) begin end else begin sc2mac_dat_data62_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[63]) == 1'b1) begin sc2mac_dat_data63_d2 <= sc2mac_dat_data63_d1; end else if ((sc2mac_dat_mask_d1[63]) == 1'b0) begin end else begin sc2mac_dat_data63_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[64]) == 1'b1) begin sc2mac_dat_data64_d2 <= sc2mac_dat_data64_d1; end else if ((sc2mac_dat_mask_d1[64]) == 1'b0) begin end else begin sc2mac_dat_data64_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[65]) == 1'b1) begin sc2mac_dat_data65_d2 <= sc2mac_dat_data65_d1; end else if ((sc2mac_dat_mask_d1[65]) == 1'b0) begin end else begin sc2mac_dat_data65_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[66]) == 1'b1) begin sc2mac_dat_data66_d2 <= sc2mac_dat_data66_d1; end else if ((sc2mac_dat_mask_d1[66]) == 1'b0) begin end else begin sc2mac_dat_data66_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[67]) == 1'b1) begin sc2mac_dat_data67_d2 <= sc2mac_dat_data67_d1; end else if ((sc2mac_dat_mask_d1[67]) == 1'b0) begin end else begin sc2mac_dat_data67_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[68]) == 1'b1) begin sc2mac_dat_data68_d2 <= sc2mac_dat_data68_d1; end else if ((sc2mac_dat_mask_d1[68]) == 1'b0) begin end else begin sc2mac_dat_data68_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[69]) == 1'b1) begin sc2mac_dat_data69_d2 <= sc2mac_dat_data69_d1; end else if ((sc2mac_dat_mask_d1[69]) == 1'b0) begin end else begin sc2mac_dat_data69_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[70]) == 1'b1) begin sc2mac_dat_data70_d2 <= sc2mac_dat_data70_d1; end else if ((sc2mac_dat_mask_d1[70]) == 1'b0) begin end else begin sc2mac_dat_data70_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[71]) == 1'b1) begin sc2mac_dat_data71_d2 <= sc2mac_dat_data71_d1; end else if ((sc2mac_dat_mask_d1[71]) == 1'b0) begin end else begin sc2mac_dat_data71_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[72]) == 1'b1) begin sc2mac_dat_data72_d2 <= sc2mac_dat_data72_d1; end else if ((sc2mac_dat_mask_d1[72]) == 1'b0) begin end else begin sc2mac_dat_data72_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[73]) == 1'b1) begin sc2mac_dat_data73_d2 <= sc2mac_dat_data73_d1; end else if ((sc2mac_dat_mask_d1[73]) == 1'b0) begin end else begin sc2mac_dat_data73_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[74]) == 1'b1) begin sc2mac_dat_data74_d2 <= sc2mac_dat_data74_d1; end else if ((sc2mac_dat_mask_d1[74]) == 1'b0) begin end else begin sc2mac_dat_data74_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[75]) == 1'b1) begin sc2mac_dat_data75_d2 <= sc2mac_dat_data75_d1; end else if ((sc2mac_dat_mask_d1[75]) == 1'b0) begin end else begin sc2mac_dat_data75_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[76]) == 1'b1) begin sc2mac_dat_data76_d2 <= sc2mac_dat_data76_d1; end else if ((sc2mac_dat_mask_d1[76]) == 1'b0) begin end else begin sc2mac_dat_data76_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[77]) == 1'b1) begin sc2mac_dat_data77_d2 <= sc2mac_dat_data77_d1; end else if ((sc2mac_dat_mask_d1[77]) == 1'b0) begin end else begin sc2mac_dat_data77_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[78]) == 1'b1) begin sc2mac_dat_data78_d2 <= sc2mac_dat_data78_d1; end else if ((sc2mac_dat_mask_d1[78]) == 1'b0) begin end else begin sc2mac_dat_data78_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[79]) == 1'b1) begin sc2mac_dat_data79_d2 <= sc2mac_dat_data79_d1; end else if ((sc2mac_dat_mask_d1[79]) == 1'b0) begin end else begin sc2mac_dat_data79_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[80]) == 1'b1) begin sc2mac_dat_data80_d2 <= sc2mac_dat_data80_d1; end else if ((sc2mac_dat_mask_d1[80]) == 1'b0) begin end else begin sc2mac_dat_data80_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[81]) == 1'b1) begin sc2mac_dat_data81_d2 <= sc2mac_dat_data81_d1; end else if ((sc2mac_dat_mask_d1[81]) == 1'b0) begin end else begin sc2mac_dat_data81_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[82]) == 1'b1) begin sc2mac_dat_data82_d2 <= sc2mac_dat_data82_d1; end else if ((sc2mac_dat_mask_d1[82]) == 1'b0) begin end else begin sc2mac_dat_data82_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[83]) == 1'b1) begin sc2mac_dat_data83_d2 <= sc2mac_dat_data83_d1; end else if ((sc2mac_dat_mask_d1[83]) == 1'b0) begin end else begin sc2mac_dat_data83_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[84]) == 1'b1) begin sc2mac_dat_data84_d2 <= sc2mac_dat_data84_d1; end else if ((sc2mac_dat_mask_d1[84]) == 1'b0) begin end else begin sc2mac_dat_data84_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[85]) == 1'b1) begin sc2mac_dat_data85_d2 <= sc2mac_dat_data85_d1; end else if ((sc2mac_dat_mask_d1[85]) == 1'b0) begin end else begin sc2mac_dat_data85_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[86]) == 1'b1) begin sc2mac_dat_data86_d2 <= sc2mac_dat_data86_d1; end else if ((sc2mac_dat_mask_d1[86]) == 1'b0) begin end else begin sc2mac_dat_data86_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[87]) == 1'b1) begin sc2mac_dat_data87_d2 <= sc2mac_dat_data87_d1; end else if ((sc2mac_dat_mask_d1[87]) == 1'b0) begin end else begin sc2mac_dat_data87_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[88]) == 1'b1) begin sc2mac_dat_data88_d2 <= sc2mac_dat_data88_d1; end else if ((sc2mac_dat_mask_d1[88]) == 1'b0) begin end else begin sc2mac_dat_data88_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[89]) == 1'b1) begin sc2mac_dat_data89_d2 <= sc2mac_dat_data89_d1; end else if ((sc2mac_dat_mask_d1[89]) == 1'b0) begin end else begin sc2mac_dat_data89_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[90]) == 1'b1) begin sc2mac_dat_data90_d2 <= sc2mac_dat_data90_d1; end else if ((sc2mac_dat_mask_d1[90]) == 1'b0) begin end else begin sc2mac_dat_data90_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[91]) == 1'b1) begin sc2mac_dat_data91_d2 <= sc2mac_dat_data91_d1; end else if ((sc2mac_dat_mask_d1[91]) == 1'b0) begin end else begin sc2mac_dat_data91_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[92]) == 1'b1) begin sc2mac_dat_data92_d2 <= sc2mac_dat_data92_d1; end else if ((sc2mac_dat_mask_d1[92]) == 1'b0) begin end else begin sc2mac_dat_data92_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[93]) == 1'b1) begin sc2mac_dat_data93_d2 <= sc2mac_dat_data93_d1; end else if ((sc2mac_dat_mask_d1[93]) == 1'b0) begin end else begin sc2mac_dat_data93_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[94]) == 1'b1) begin sc2mac_dat_data94_d2 <= sc2mac_dat_data94_d1; end else if ((sc2mac_dat_mask_d1[94]) == 1'b0) begin end else begin sc2mac_dat_data94_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[95]) == 1'b1) begin sc2mac_dat_data95_d2 <= sc2mac_dat_data95_d1; end else if ((sc2mac_dat_mask_d1[95]) == 1'b0) begin end else begin sc2mac_dat_data95_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[96]) == 1'b1) begin sc2mac_dat_data96_d2 <= sc2mac_dat_data96_d1; end else if ((sc2mac_dat_mask_d1[96]) == 1'b0) begin end else begin sc2mac_dat_data96_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[97]) == 1'b1) begin sc2mac_dat_data97_d2 <= sc2mac_dat_data97_d1; end else if ((sc2mac_dat_mask_d1[97]) == 1'b0) begin end else begin sc2mac_dat_data97_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[98]) == 1'b1) begin sc2mac_dat_data98_d2 <= sc2mac_dat_data98_d1; end else if ((sc2mac_dat_mask_d1[98]) == 1'b0) begin end else begin sc2mac_dat_data98_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[99]) == 1'b1) begin sc2mac_dat_data99_d2 <= sc2mac_dat_data99_d1; end else if ((sc2mac_dat_mask_d1[99]) == 1'b0) begin end else begin sc2mac_dat_data99_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[100]) == 1'b1) begin sc2mac_dat_data100_d2 <= sc2mac_dat_data100_d1; end else if ((sc2mac_dat_mask_d1[100]) == 1'b0) begin end else begin sc2mac_dat_data100_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[101]) == 1'b1) begin sc2mac_dat_data101_d2 <= sc2mac_dat_data101_d1; end else if ((sc2mac_dat_mask_d1[101]) == 1'b0) begin end else begin sc2mac_dat_data101_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[102]) == 1'b1) begin sc2mac_dat_data102_d2 <= sc2mac_dat_data102_d1; end else if ((sc2mac_dat_mask_d1[102]) == 1'b0) begin end else begin sc2mac_dat_data102_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[103]) == 1'b1) begin sc2mac_dat_data103_d2 <= sc2mac_dat_data103_d1; end else if ((sc2mac_dat_mask_d1[103]) == 1'b0) begin end else begin sc2mac_dat_data103_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[104]) == 1'b1) begin sc2mac_dat_data104_d2 <= sc2mac_dat_data104_d1; end else if ((sc2mac_dat_mask_d1[104]) == 1'b0) begin end else begin sc2mac_dat_data104_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[105]) == 1'b1) begin sc2mac_dat_data105_d2 <= sc2mac_dat_data105_d1; end else if ((sc2mac_dat_mask_d1[105]) == 1'b0) begin end else begin sc2mac_dat_data105_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[106]) == 1'b1) begin sc2mac_dat_data106_d2 <= sc2mac_dat_data106_d1; end else if ((sc2mac_dat_mask_d1[106]) == 1'b0) begin end else begin sc2mac_dat_data106_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[107]) == 1'b1) begin sc2mac_dat_data107_d2 <= sc2mac_dat_data107_d1; end else if ((sc2mac_dat_mask_d1[107]) == 1'b0) begin end else begin sc2mac_dat_data107_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[108]) == 1'b1) begin sc2mac_dat_data108_d2 <= sc2mac_dat_data108_d1; end else if ((sc2mac_dat_mask_d1[108]) == 1'b0) begin end else begin sc2mac_dat_data108_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[109]) == 1'b1) begin sc2mac_dat_data109_d2 <= sc2mac_dat_data109_d1; end else if ((sc2mac_dat_mask_d1[109]) == 1'b0) begin end else begin sc2mac_dat_data109_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[110]) == 1'b1) begin sc2mac_dat_data110_d2 <= sc2mac_dat_data110_d1; end else if ((sc2mac_dat_mask_d1[110]) == 1'b0) begin end else begin sc2mac_dat_data110_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[111]) == 1'b1) begin sc2mac_dat_data111_d2 <= sc2mac_dat_data111_d1; end else if ((sc2mac_dat_mask_d1[111]) == 1'b0) begin end else begin sc2mac_dat_data111_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[112]) == 1'b1) begin sc2mac_dat_data112_d2 <= sc2mac_dat_data112_d1; end else if ((sc2mac_dat_mask_d1[112]) == 1'b0) begin end else begin sc2mac_dat_data112_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[113]) == 1'b1) begin sc2mac_dat_data113_d2 <= sc2mac_dat_data113_d1; end else if ((sc2mac_dat_mask_d1[113]) == 1'b0) begin end else begin sc2mac_dat_data113_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[114]) == 1'b1) begin sc2mac_dat_data114_d2 <= sc2mac_dat_data114_d1; end else if ((sc2mac_dat_mask_d1[114]) == 1'b0) begin end else begin sc2mac_dat_data114_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[115]) == 1'b1) begin sc2mac_dat_data115_d2 <= sc2mac_dat_data115_d1; end else if ((sc2mac_dat_mask_d1[115]) == 1'b0) begin end else begin sc2mac_dat_data115_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[116]) == 1'b1) begin sc2mac_dat_data116_d2 <= sc2mac_dat_data116_d1; end else if ((sc2mac_dat_mask_d1[116]) == 1'b0) begin end else begin sc2mac_dat_data116_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[117]) == 1'b1) begin sc2mac_dat_data117_d2 <= sc2mac_dat_data117_d1; end else if ((sc2mac_dat_mask_d1[117]) == 1'b0) begin end else begin sc2mac_dat_data117_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[118]) == 1'b1) begin sc2mac_dat_data118_d2 <= sc2mac_dat_data118_d1; end else if ((sc2mac_dat_mask_d1[118]) == 1'b0) begin end else begin sc2mac_dat_data118_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[119]) == 1'b1) begin sc2mac_dat_data119_d2 <= sc2mac_dat_data119_d1; end else if ((sc2mac_dat_mask_d1[119]) == 1'b0) begin end else begin sc2mac_dat_data119_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[120]) == 1'b1) begin sc2mac_dat_data120_d2 <= sc2mac_dat_data120_d1; end else if ((sc2mac_dat_mask_d1[120]) == 1'b0) begin end else begin sc2mac_dat_data120_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[121]) == 1'b1) begin sc2mac_dat_data121_d2 <= sc2mac_dat_data121_d1; end else if ((sc2mac_dat_mask_d1[121]) == 1'b0) begin end else begin sc2mac_dat_data121_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[122]) == 1'b1) begin sc2mac_dat_data122_d2 <= sc2mac_dat_data122_d1; end else if ((sc2mac_dat_mask_d1[122]) == 1'b0) begin end else begin sc2mac_dat_data122_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[123]) == 1'b1) begin sc2mac_dat_data123_d2 <= sc2mac_dat_data123_d1; end else if ((sc2mac_dat_mask_d1[123]) == 1'b0) begin end else begin sc2mac_dat_data123_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[124]) == 1'b1) begin sc2mac_dat_data124_d2 <= sc2mac_dat_data124_d1; end else if ((sc2mac_dat_mask_d1[124]) == 1'b0) begin end else begin sc2mac_dat_data124_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[125]) == 1'b1) begin sc2mac_dat_data125_d2 <= sc2mac_dat_data125_d1; end else if ((sc2mac_dat_mask_d1[125]) == 1'b0) begin end else begin sc2mac_dat_data125_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[126]) == 1'b1) begin sc2mac_dat_data126_d2 <= sc2mac_dat_data126_d1; end else if ((sc2mac_dat_mask_d1[126]) == 1'b0) begin end else begin sc2mac_dat_data126_d2 <= 'bx; end end always @(posedge nvdla_core_clk) begin if ((sc2mac_dat_mask_d1[127]) == 1'b1) begin sc2mac_dat_data127_d2 <= sc2mac_dat_data127_d1; end else if ((sc2mac_dat_mask_d1[127]) == 1'b0) begin end else begin sc2mac_dat_data127_d2 <= 'bx; end end assign sc2mac_wt_dst_pvld = sc2mac_wt_pvld_d2; assign sc2mac_wt_dst_sel = sc2mac_wt_sel_d2; assign sc2mac_wt_dst_mask = sc2mac_wt_mask_d2; assign sc2mac_wt_dst_data0 = sc2mac_wt_data0_d2; assign sc2mac_wt_dst_data1 = sc2mac_wt_data1_d2; assign sc2mac_wt_dst_data2 = sc2mac_wt_data2_d2; assign sc2mac_wt_dst_data3 = sc2mac_wt_data3_d2; assign sc2mac_wt_dst_data4 = sc2mac_wt_data4_d2; assign sc2mac_wt_dst_data5 = sc2mac_wt_data5_d2; assign sc2mac_wt_dst_data6 = sc2mac_wt_data6_d2; assign sc2mac_wt_dst_data7 = sc2mac_wt_data7_d2; assign sc2mac_wt_dst_data8 = sc2mac_wt_data8_d2; assign sc2mac_wt_dst_data9 = sc2mac_wt_data9_d2; assign sc2mac_wt_dst_data10 = sc2mac_wt_data10_d2; assign sc2mac_wt_dst_data11 = sc2mac_wt_data11_d2; assign sc2mac_wt_dst_data12 = sc2mac_wt_data12_d2; assign sc2mac_wt_dst_data13 = sc2mac_wt_data13_d2; assign sc2mac_wt_dst_data14 = sc2mac_wt_data14_d2; assign sc2mac_wt_dst_data15 = sc2mac_wt_data15_d2; assign sc2mac_wt_dst_data16 = sc2mac_wt_data16_d2; assign sc2mac_wt_dst_data17 = sc2mac_wt_data17_d2; assign sc2mac_wt_dst_data18 = sc2mac_wt_data18_d2; assign sc2mac_wt_dst_data19 = sc2mac_wt_data19_d2; assign sc2mac_wt_dst_data20 = sc2mac_wt_data20_d2; assign sc2mac_wt_dst_data21 = sc2mac_wt_data21_d2; assign sc2mac_wt_dst_data22 = sc2mac_wt_data22_d2; assign sc2mac_wt_dst_data23 = sc2mac_wt_data23_d2; assign sc2mac_wt_dst_data24 = sc2mac_wt_data24_d2; assign sc2mac_wt_dst_data25 = sc2mac_wt_data25_d2; assign sc2mac_wt_dst_data26 = sc2mac_wt_data26_d2; assign sc2mac_wt_dst_data27 = sc2mac_wt_data27_d2; assign sc2mac_wt_dst_data28 = sc2mac_wt_data28_d2; assign sc2mac_wt_dst_data29 = sc2mac_wt_data29_d2; assign sc2mac_wt_dst_data30 = sc2mac_wt_data30_d2; assign sc2mac_wt_dst_data31 = sc2mac_wt_data31_d2; assign sc2mac_wt_dst_data32 = sc2mac_wt_data32_d2; assign sc2mac_wt_dst_data33 = sc2mac_wt_data33_d2; assign sc2mac_wt_dst_data34 = sc2mac_wt_data34_d2; assign sc2mac_wt_dst_data35 = sc2mac_wt_data35_d2; assign sc2mac_wt_dst_data36 = sc2mac_wt_data36_d2; assign sc2mac_wt_dst_data37 = sc2mac_wt_data37_d2; assign sc2mac_wt_dst_data38 = sc2mac_wt_data38_d2; assign sc2mac_wt_dst_data39 = sc2mac_wt_data39_d2; assign sc2mac_wt_dst_data40 = sc2mac_wt_data40_d2; assign sc2mac_wt_dst_data41 = sc2mac_wt_data41_d2; assign sc2mac_wt_dst_data42 = sc2mac_wt_data42_d2; assign sc2mac_wt_dst_data43 = sc2mac_wt_data43_d2; assign sc2mac_wt_dst_data44 = sc2mac_wt_data44_d2; assign sc2mac_wt_dst_data45 = sc2mac_wt_data45_d2; assign sc2mac_wt_dst_data46 = sc2mac_wt_data46_d2; assign sc2mac_wt_dst_data47 = sc2mac_wt_data47_d2; assign sc2mac_wt_dst_data48 = sc2mac_wt_data48_d2; assign sc2mac_wt_dst_data49 = sc2mac_wt_data49_d2; assign sc2mac_wt_dst_data50 = sc2mac_wt_data50_d2; assign sc2mac_wt_dst_data51 = sc2mac_wt_data51_d2; assign sc2mac_wt_dst_data52 = sc2mac_wt_data52_d2; assign sc2mac_wt_dst_data53 = sc2mac_wt_data53_d2; assign sc2mac_wt_dst_data54 = sc2mac_wt_data54_d2; assign sc2mac_wt_dst_data55 = sc2mac_wt_data55_d2; assign sc2mac_wt_dst_data56 = sc2mac_wt_data56_d2; assign sc2mac_wt_dst_data57 = sc2mac_wt_data57_d2; assign sc2mac_wt_dst_data58 = sc2mac_wt_data58_d2; assign sc2mac_wt_dst_data59 = sc2mac_wt_data59_d2; assign sc2mac_wt_dst_data60 = sc2mac_wt_data60_d2; assign sc2mac_wt_dst_data61 = sc2mac_wt_data61_d2; assign sc2mac_wt_dst_data62 = sc2mac_wt_data62_d2; assign sc2mac_wt_dst_data63 = sc2mac_wt_data63_d2; assign sc2mac_wt_dst_data64 = sc2mac_wt_data64_d2; assign sc2mac_wt_dst_data65 = sc2mac_wt_data65_d2; assign sc2mac_wt_dst_data66 = sc2mac_wt_data66_d2; assign sc2mac_wt_dst_data67 = sc2mac_wt_data67_d2; assign sc2mac_wt_dst_data68 = sc2mac_wt_data68_d2; assign sc2mac_wt_dst_data69 = sc2mac_wt_data69_d2; assign sc2mac_wt_dst_data70 = sc2mac_wt_data70_d2; assign sc2mac_wt_dst_data71 = sc2mac_wt_data71_d2; assign sc2mac_wt_dst_data72 = sc2mac_wt_data72_d2; assign sc2mac_wt_dst_data73 = sc2mac_wt_data73_d2; assign sc2mac_wt_dst_data74 = sc2mac_wt_data74_d2; assign sc2mac_wt_dst_data75 = sc2mac_wt_data75_d2; assign sc2mac_wt_dst_data76 = sc2mac_wt_data76_d2; assign sc2mac_wt_dst_data77 = sc2mac_wt_data77_d2; assign sc2mac_wt_dst_data78 = sc2mac_wt_data78_d2; assign sc2mac_wt_dst_data79 = sc2mac_wt_data79_d2; assign sc2mac_wt_dst_data80 = sc2mac_wt_data80_d2; assign sc2mac_wt_dst_data81 = sc2mac_wt_data81_d2; assign sc2mac_wt_dst_data82 = sc2mac_wt_data82_d2; assign sc2mac_wt_dst_data83 = sc2mac_wt_data83_d2; assign sc2mac_wt_dst_data84 = sc2mac_wt_data84_d2; assign sc2mac_wt_dst_data85 = sc2mac_wt_data85_d2; assign sc2mac_wt_dst_data86 = sc2mac_wt_data86_d2; assign sc2mac_wt_dst_data87 = sc2mac_wt_data87_d2; assign sc2mac_wt_dst_data88 = sc2mac_wt_data88_d2; assign sc2mac_wt_dst_data89 = sc2mac_wt_data89_d2; assign sc2mac_wt_dst_data90 = sc2mac_wt_data90_d2; assign sc2mac_wt_dst_data91 = sc2mac_wt_data91_d2; assign sc2mac_wt_dst_data92 = sc2mac_wt_data92_d2; assign sc2mac_wt_dst_data93 = sc2mac_wt_data93_d2; assign sc2mac_wt_dst_data94 = sc2mac_wt_data94_d2; assign sc2mac_wt_dst_data95 = sc2mac_wt_data95_d2; assign sc2mac_wt_dst_data96 = sc2mac_wt_data96_d2; assign sc2mac_wt_dst_data97 = sc2mac_wt_data97_d2; assign sc2mac_wt_dst_data98 = sc2mac_wt_data98_d2; assign sc2mac_wt_dst_data99 = sc2mac_wt_data99_d2; assign sc2mac_wt_dst_data100 = sc2mac_wt_data100_d2; assign sc2mac_wt_dst_data101 = sc2mac_wt_data101_d2; assign sc2mac_wt_dst_data102 = sc2mac_wt_data102_d2; assign sc2mac_wt_dst_data103 = sc2mac_wt_data103_d2; assign sc2mac_wt_dst_data104 = sc2mac_wt_data104_d2; assign sc2mac_wt_dst_data105 = sc2mac_wt_data105_d2; assign sc2mac_wt_dst_data106 = sc2mac_wt_data106_d2; assign sc2mac_wt_dst_data107 = sc2mac_wt_data107_d2; assign sc2mac_wt_dst_data108 = sc2mac_wt_data108_d2; assign sc2mac_wt_dst_data109 = sc2mac_wt_data109_d2; assign sc2mac_wt_dst_data110 = sc2mac_wt_data110_d2; assign sc2mac_wt_dst_data111 = sc2mac_wt_data111_d2; assign sc2mac_wt_dst_data112 = sc2mac_wt_data112_d2; assign sc2mac_wt_dst_data113 = sc2mac_wt_data113_d2; assign sc2mac_wt_dst_data114 = sc2mac_wt_data114_d2; assign sc2mac_wt_dst_data115 = sc2mac_wt_data115_d2; assign sc2mac_wt_dst_data116 = sc2mac_wt_data116_d2; assign sc2mac_wt_dst_data117 = sc2mac_wt_data117_d2; assign sc2mac_wt_dst_data118 = sc2mac_wt_data118_d2; assign sc2mac_wt_dst_data119 = sc2mac_wt_data119_d2; assign sc2mac_wt_dst_data120 = sc2mac_wt_data120_d2; assign sc2mac_wt_dst_data121 = sc2mac_wt_data121_d2; assign sc2mac_wt_dst_data122 = sc2mac_wt_data122_d2; assign sc2mac_wt_dst_data123 = sc2mac_wt_data123_d2; assign sc2mac_wt_dst_data124 = sc2mac_wt_data124_d2; assign sc2mac_wt_dst_data125 = sc2mac_wt_data125_d2; assign sc2mac_wt_dst_data126 = sc2mac_wt_data126_d2; assign sc2mac_wt_dst_data127 = sc2mac_wt_data127_d2; assign sc2mac_dat_dst_pvld = sc2mac_dat_pvld_d2; assign sc2mac_dat_dst_pd = sc2mac_dat_pd_d2; assign sc2mac_dat_dst_mask = sc2mac_dat_mask_d2; assign sc2mac_dat_dst_data0 = sc2mac_dat_data0_d2; assign sc2mac_dat_dst_data1 = sc2mac_dat_data1_d2; assign sc2mac_dat_dst_data2 = sc2mac_dat_data2_d2; assign sc2mac_dat_dst_data3 = sc2mac_dat_data3_d2; assign sc2mac_dat_dst_data4 = sc2mac_dat_data4_d2; assign sc2mac_dat_dst_data5 = sc2mac_dat_data5_d2; assign sc2mac_dat_dst_data6 = sc2mac_dat_data6_d2; assign sc2mac_dat_dst_data7 = sc2mac_dat_data7_d2; assign sc2mac_dat_dst_data8 = sc2mac_dat_data8_d2; assign sc2mac_dat_dst_data9 = sc2mac_dat_data9_d2; assign sc2mac_dat_dst_data10 = sc2mac_dat_data10_d2; assign sc2mac_dat_dst_data11 = sc2mac_dat_data11_d2; assign sc2mac_dat_dst_data12 = sc2mac_dat_data12_d2; assign sc2mac_dat_dst_data13 = sc2mac_dat_data13_d2; assign sc2mac_dat_dst_data14 = sc2mac_dat_data14_d2; assign sc2mac_dat_dst_data15 = sc2mac_dat_data15_d2; assign sc2mac_dat_dst_data16 = sc2mac_dat_data16_d2; assign sc2mac_dat_dst_data17 = sc2mac_dat_data17_d2; assign sc2mac_dat_dst_data18 = sc2mac_dat_data18_d2; assign sc2mac_dat_dst_data19 = sc2mac_dat_data19_d2; assign sc2mac_dat_dst_data20 = sc2mac_dat_data20_d2; assign sc2mac_dat_dst_data21 = sc2mac_dat_data21_d2; assign sc2mac_dat_dst_data22 = sc2mac_dat_data22_d2; assign sc2mac_dat_dst_data23 = sc2mac_dat_data23_d2; assign sc2mac_dat_dst_data24 = sc2mac_dat_data24_d2; assign sc2mac_dat_dst_data25 = sc2mac_dat_data25_d2; assign sc2mac_dat_dst_data26 = sc2mac_dat_data26_d2; assign sc2mac_dat_dst_data27 = sc2mac_dat_data27_d2; assign sc2mac_dat_dst_data28 = sc2mac_dat_data28_d2; assign sc2mac_dat_dst_data29 = sc2mac_dat_data29_d2; assign sc2mac_dat_dst_data30 = sc2mac_dat_data30_d2; assign sc2mac_dat_dst_data31 = sc2mac_dat_data31_d2; assign sc2mac_dat_dst_data32 = sc2mac_dat_data32_d2; assign sc2mac_dat_dst_data33 = sc2mac_dat_data33_d2; assign sc2mac_dat_dst_data34 = sc2mac_dat_data34_d2; assign sc2mac_dat_dst_data35 = sc2mac_dat_data35_d2; assign sc2mac_dat_dst_data36 = sc2mac_dat_data36_d2; assign sc2mac_dat_dst_data37 = sc2mac_dat_data37_d2; assign sc2mac_dat_dst_data38 = sc2mac_dat_data38_d2; assign sc2mac_dat_dst_data39 = sc2mac_dat_data39_d2; assign sc2mac_dat_dst_data40 = sc2mac_dat_data40_d2; assign sc2mac_dat_dst_data41 = sc2mac_dat_data41_d2; assign sc2mac_dat_dst_data42 = sc2mac_dat_data42_d2; assign sc2mac_dat_dst_data43 = sc2mac_dat_data43_d2; assign sc2mac_dat_dst_data44 = sc2mac_dat_data44_d2; assign sc2mac_dat_dst_data45 = sc2mac_dat_data45_d2; assign sc2mac_dat_dst_data46 = sc2mac_dat_data46_d2; assign sc2mac_dat_dst_data47 = sc2mac_dat_data47_d2; assign sc2mac_dat_dst_data48 = sc2mac_dat_data48_d2; assign sc2mac_dat_dst_data49 = sc2mac_dat_data49_d2; assign sc2mac_dat_dst_data50 = sc2mac_dat_data50_d2; assign sc2mac_dat_dst_data51 = sc2mac_dat_data51_d2; assign sc2mac_dat_dst_data52 = sc2mac_dat_data52_d2; assign sc2mac_dat_dst_data53 = sc2mac_dat_data53_d2; assign sc2mac_dat_dst_data54 = sc2mac_dat_data54_d2; assign sc2mac_dat_dst_data55 = sc2mac_dat_data55_d2; assign sc2mac_dat_dst_data56 = sc2mac_dat_data56_d2; assign sc2mac_dat_dst_data57 = sc2mac_dat_data57_d2; assign sc2mac_dat_dst_data58 = sc2mac_dat_data58_d2; assign sc2mac_dat_dst_data59 = sc2mac_dat_data59_d2; assign sc2mac_dat_dst_data60 = sc2mac_dat_data60_d2; assign sc2mac_dat_dst_data61 = sc2mac_dat_data61_d2; assign sc2mac_dat_dst_data62 = sc2mac_dat_data62_d2; assign sc2mac_dat_dst_data63 = sc2mac_dat_data63_d2; assign sc2mac_dat_dst_data64 = sc2mac_dat_data64_d2; assign sc2mac_dat_dst_data65 = sc2mac_dat_data65_d2; assign sc2mac_dat_dst_data66 = sc2mac_dat_data66_d2; assign sc2mac_dat_dst_data67 = sc2mac_dat_data67_d2; assign sc2mac_dat_dst_data68 = sc2mac_dat_data68_d2; assign sc2mac_dat_dst_data69 = sc2mac_dat_data69_d2; assign sc2mac_dat_dst_data70 = sc2mac_dat_data70_d2; assign sc2mac_dat_dst_data71 = sc2mac_dat_data71_d2; assign sc2mac_dat_dst_data72 = sc2mac_dat_data72_d2; assign sc2mac_dat_dst_data73 = sc2mac_dat_data73_d2; assign sc2mac_dat_dst_data74 = sc2mac_dat_data74_d2; assign sc2mac_dat_dst_data75 = sc2mac_dat_data75_d2; assign sc2mac_dat_dst_data76 = sc2mac_dat_data76_d2; assign sc2mac_dat_dst_data77 = sc2mac_dat_data77_d2; assign sc2mac_dat_dst_data78 = sc2mac_dat_data78_d2; assign sc2mac_dat_dst_data79 = sc2mac_dat_data79_d2; assign sc2mac_dat_dst_data80 = sc2mac_dat_data80_d2; assign sc2mac_dat_dst_data81 = sc2mac_dat_data81_d2; assign sc2mac_dat_dst_data82 = sc2mac_dat_data82_d2; assign sc2mac_dat_dst_data83 = sc2mac_dat_data83_d2; assign sc2mac_dat_dst_data84 = sc2mac_dat_data84_d2; assign sc2mac_dat_dst_data85 = sc2mac_dat_data85_d2; assign sc2mac_dat_dst_data86 = sc2mac_dat_data86_d2; assign sc2mac_dat_dst_data87 = sc2mac_dat_data87_d2; assign sc2mac_dat_dst_data88 = sc2mac_dat_data88_d2; assign sc2mac_dat_dst_data89 = sc2mac_dat_data89_d2; assign sc2mac_dat_dst_data90 = sc2mac_dat_data90_d2; assign sc2mac_dat_dst_data91 = sc2mac_dat_data91_d2; assign sc2mac_dat_dst_data92 = sc2mac_dat_data92_d2; assign sc2mac_dat_dst_data93 = sc2mac_dat_data93_d2; assign sc2mac_dat_dst_data94 = sc2mac_dat_data94_d2; assign sc2mac_dat_dst_data95 = sc2mac_dat_data95_d2; assign sc2mac_dat_dst_data96 = sc2mac_dat_data96_d2; assign sc2mac_dat_dst_data97 = sc2mac_dat_data97_d2; assign sc2mac_dat_dst_data98 = sc2mac_dat_data98_d2; assign sc2mac_dat_dst_data99 = sc2mac_dat_data99_d2; assign sc2mac_dat_dst_data100 = sc2mac_dat_data100_d2; assign sc2mac_dat_dst_data101 = sc2mac_dat_data101_d2; assign sc2mac_dat_dst_data102 = sc2mac_dat_data102_d2; assign sc2mac_dat_dst_data103 = sc2mac_dat_data103_d2; assign sc2mac_dat_dst_data104 = sc2mac_dat_data104_d2; assign sc2mac_dat_dst_data105 = sc2mac_dat_data105_d2; assign sc2mac_dat_dst_data106 = sc2mac_dat_data106_d2; assign sc2mac_dat_dst_data107 = sc2mac_dat_data107_d2; assign sc2mac_dat_dst_data108 = sc2mac_dat_data108_d2; assign sc2mac_dat_dst_data109 = sc2mac_dat_data109_d2; assign sc2mac_dat_dst_data110 = sc2mac_dat_data110_d2; assign sc2mac_dat_dst_data111 = sc2mac_dat_data111_d2; assign sc2mac_dat_dst_data112 = sc2mac_dat_data112_d2; assign sc2mac_dat_dst_data113 = sc2mac_dat_data113_d2; assign sc2mac_dat_dst_data114 = sc2mac_dat_data114_d2; assign sc2mac_dat_dst_data115 = sc2mac_dat_data115_d2; assign sc2mac_dat_dst_data116 = sc2mac_dat_data116_d2; assign sc2mac_dat_dst_data117 = sc2mac_dat_data117_d2; assign sc2mac_dat_dst_data118 = sc2mac_dat_data118_d2; assign sc2mac_dat_dst_data119 = sc2mac_dat_data119_d2; assign sc2mac_dat_dst_data120 = sc2mac_dat_data120_d2; assign sc2mac_dat_dst_data121 = sc2mac_dat_data121_d2; assign sc2mac_dat_dst_data122 = sc2mac_dat_data122_d2; assign sc2mac_dat_dst_data123 = sc2mac_dat_data123_d2; assign sc2mac_dat_dst_data124 = sc2mac_dat_data124_d2; assign sc2mac_dat_dst_data125 = sc2mac_dat_data125_d2; assign sc2mac_dat_dst_data126 = sc2mac_dat_data126_d2; assign sc2mac_dat_dst_data127 = sc2mac_dat_data127_d2; endmodule
0
5
data/full_repos/permissive/93755996/Project9.1_VGA_16_Color/VGA_Output.v
93,755,996
VGA_Output.v
v
27
47
[]
[]
[]
[(1, 27)]
null
data/verilator_xmls/2670e672-faed-4ed2-848b-814a2e697e4e.xml
null
310,968
module
module VGA_Output ( input [8:0] RrrGggBbb, input i_VGA_HSync, input i_VGA_VSync, output o_VGA_Red_0, output o_VGA_Red_1, output o_VGA_Red_2, output o_VGA_Grn_0, output o_VGA_Grn_1, output o_VGA_Grn_2, output o_VGA_Blu_0, output o_VGA_Blu_1, output o_VGA_Blu_2 ); wire active = (i_VGA_HSync && i_VGA_VSync); assign o_VGA_Red_0 = active && RrrGggBbb[8]; assign o_VGA_Red_1 = active && RrrGggBbb[7]; assign o_VGA_Red_2 = active && RrrGggBbb[6]; assign o_VGA_Grn_0 = active && RrrGggBbb[5]; assign o_VGA_Grn_1 = active && RrrGggBbb[4]; assign o_VGA_Grn_2 = active && RrrGggBbb[3]; assign o_VGA_Blu_0 = active && RrrGggBbb[2]; assign o_VGA_Blu_1 = active && RrrGggBbb[1]; assign o_VGA_Blu_2 = active && RrrGggBbb[0]; endmodule
module VGA_Output ( input [8:0] RrrGggBbb, input i_VGA_HSync, input i_VGA_VSync, output o_VGA_Red_0, output o_VGA_Red_1, output o_VGA_Red_2, output o_VGA_Grn_0, output o_VGA_Grn_1, output o_VGA_Grn_2, output o_VGA_Blu_0, output o_VGA_Blu_1, output o_VGA_Blu_2 );
wire active = (i_VGA_HSync && i_VGA_VSync); assign o_VGA_Red_0 = active && RrrGggBbb[8]; assign o_VGA_Red_1 = active && RrrGggBbb[7]; assign o_VGA_Red_2 = active && RrrGggBbb[6]; assign o_VGA_Grn_0 = active && RrrGggBbb[5]; assign o_VGA_Grn_1 = active && RrrGggBbb[4]; assign o_VGA_Grn_2 = active && RrrGggBbb[3]; assign o_VGA_Blu_0 = active && RrrGggBbb[2]; assign o_VGA_Blu_1 = active && RrrGggBbb[1]; assign o_VGA_Blu_2 = active && RrrGggBbb[0]; endmodule
2
6
data/full_repos/permissive/74998636/insns/insn_sltiu.v
74,998,636
insn_sltiu.v
v
59
107
['auto-generated']
[]
[]
null
line:23: before: "/"
null
1: b"%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:5: Define or directive not defined: '`RISCV_FORMAL_ILEN'\n input [`RISCV_FORMAL_ILEN - 1 : 0] rvfi_insn,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:6: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_pc_rdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:7: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs1_rdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:8: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs2_rdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:9: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_mem_rdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:20: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN - 1 : 0] spec_rd_wdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:21: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN - 1 : 0] spec_pc_wdata,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:22: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_addr,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:23: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_rmask,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:23: syntax error, unexpected '/', expecting TYPE-IDENTIFIER\n output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_rmask,\n ^\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:24: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_wmask,\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:25: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_wdata\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:29: Define or directive not defined: '`RISCV_FORMAL_ILEN'\n wire [`RISCV_FORMAL_ILEN-1:0] insn_padding = rvfi_insn >> 32;\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:30: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n wire [`RISCV_FORMAL_XLEN-1:0] insn_imm = $signed(rvfi_insn[31:20]);\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:44: Define or directive not defined: '`RISCV_FORMAL_XLEN'\n wire [`RISCV_FORMAL_XLEN-1:0] result = rvfi_rs1_rdata < insn_imm;\n ^~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/74998636/insns/insn_sltiu.v:45: syntax error, unexpected assign\n assign spec_valid = rvfi_valid && !insn_padding && insn_funct3 == 3'b 011 && insn_opcode == 7'b 0010011;\n ^~~~~~\n%Error: Exiting due to 16 error(s)\n"
295,273
module
module rvfi_insn_sltiu ( input rvfi_valid, input [`RISCV_FORMAL_ILEN - 1 : 0] rvfi_insn, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_pc_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs1_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs2_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_mem_rdata, `ifdef RISCV_FORMAL_CSR_MISA input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_csr_misa_rdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_csr_misa_rmask, `endif output spec_valid, output spec_trap, output [ 4 : 0] spec_rs1_addr, output [ 4 : 0] spec_rs2_addr, output [ 4 : 0] spec_rd_addr, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_rd_wdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_pc_wdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_addr, output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_rmask, output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_wmask, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_wdata ); wire [`RISCV_FORMAL_ILEN-1:0] insn_padding = rvfi_insn >> 32; wire [`RISCV_FORMAL_XLEN-1:0] insn_imm = $signed(rvfi_insn[31:20]); wire [4:0] insn_rs1 = rvfi_insn[19:15]; wire [2:0] insn_funct3 = rvfi_insn[14:12]; wire [4:0] insn_rd = rvfi_insn[11: 7]; wire [6:0] insn_opcode = rvfi_insn[ 6: 0]; `ifdef RISCV_FORMAL_CSR_MISA wire misa_ok = (rvfi_csr_misa_rdata & `RISCV_FORMAL_XLEN'h 0) == `RISCV_FORMAL_XLEN'h 0; assign spec_csr_misa_rmask = `RISCV_FORMAL_XLEN'h 0; `else wire misa_ok = 1; `endif wire [`RISCV_FORMAL_XLEN-1:0] result = rvfi_rs1_rdata < insn_imm; assign spec_valid = rvfi_valid && !insn_padding && insn_funct3 == 3'b 011 && insn_opcode == 7'b 0010011; assign spec_rs1_addr = insn_rs1; assign spec_rd_addr = insn_rd; assign spec_rd_wdata = spec_rd_addr ? result : 0; assign spec_pc_wdata = rvfi_pc_rdata + 4; assign spec_rs2_addr = 0; assign spec_trap = !misa_ok; assign spec_mem_addr = 0; assign spec_mem_rmask = 0; assign spec_mem_wmask = 0; assign spec_mem_wdata = 0; endmodule
module rvfi_insn_sltiu ( input rvfi_valid, input [`RISCV_FORMAL_ILEN - 1 : 0] rvfi_insn, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_pc_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs1_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_rs2_rdata, input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_mem_rdata, `ifdef RISCV_FORMAL_CSR_MISA input [`RISCV_FORMAL_XLEN - 1 : 0] rvfi_csr_misa_rdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_csr_misa_rmask, `endif output spec_valid, output spec_trap, output [ 4 : 0] spec_rs1_addr, output [ 4 : 0] spec_rs2_addr, output [ 4 : 0] spec_rd_addr, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_rd_wdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_pc_wdata, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_addr, output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_rmask, output [`RISCV_FORMAL_XLEN/8 - 1 : 0] spec_mem_wmask, output [`RISCV_FORMAL_XLEN - 1 : 0] spec_mem_wdata );
wire [`RISCV_FORMAL_ILEN-1:0] insn_padding = rvfi_insn >> 32; wire [`RISCV_FORMAL_XLEN-1:0] insn_imm = $signed(rvfi_insn[31:20]); wire [4:0] insn_rs1 = rvfi_insn[19:15]; wire [2:0] insn_funct3 = rvfi_insn[14:12]; wire [4:0] insn_rd = rvfi_insn[11: 7]; wire [6:0] insn_opcode = rvfi_insn[ 6: 0]; `ifdef RISCV_FORMAL_CSR_MISA wire misa_ok = (rvfi_csr_misa_rdata & `RISCV_FORMAL_XLEN'h 0) == `RISCV_FORMAL_XLEN'h 0; assign spec_csr_misa_rmask = `RISCV_FORMAL_XLEN'h 0; `else wire misa_ok = 1; `endif wire [`RISCV_FORMAL_XLEN-1:0] result = rvfi_rs1_rdata < insn_imm; assign spec_valid = rvfi_valid && !insn_padding && insn_funct3 == 3'b 011 && insn_opcode == 7'b 0010011; assign spec_rs1_addr = insn_rs1; assign spec_rd_addr = insn_rd; assign spec_rd_wdata = spec_rd_addr ? result : 0; assign spec_pc_wdata = rvfi_pc_rdata + 4; assign spec_rs2_addr = 0; assign spec_trap = !misa_ok; assign spec_mem_addr = 0; assign spec_mem_rmask = 0; assign spec_mem_wmask = 0; assign spec_mem_wdata = 0; endmodule
433
8
data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv
281,895,416
FIFOTestBench.sv
sv
94
70
[]
[]
[]
null
line:68: before: "integer"
null
1: b'%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:52: Unsupported: Ignoring delay on this delayed statement.\nalways #5 clk=~clk;\n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:64: Unsupported: Ignoring delay on this delayed statement.\n#20;\n^\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:66: Unsupported: Ignoring delay on this delayed statement.\n#10\n^\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:69: Unsupported or unknown PLI call: $urandom_range\nhpos=$urandom_range(0,1279);\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:70: Unsupported or unknown PLI call: $urandom_range\nvpos=$urandom_range(0,959);\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:71: Unsupported or unknown PLI call: $urandom_range\nRGBin=$urandom_range(0,7);\n ^~~~~~~~~~~~~~\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:72: Unsupported: Ignoring delay on this delayed statement.\n#10;\n^\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:75: Unsupported: Ignoring delay on this delayed statement.\n#10;\n^\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:77: Unsupported: Ignoring delay on this delayed statement.\n#550;\n^\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:81: Unsupported or unknown PLI call: $urandom_range\nhpos=$urandom_range(0,1279);\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:82: Unsupported or unknown PLI call: $urandom_range\nvpos=$urandom_range(0,959);\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:83: Unsupported or unknown PLI call: $urandom_range\nRGBin=$urandom_range(0,7);\n ^~~~~~~~~~~~~~\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:84: Unsupported: Ignoring delay on this delayed statement.\n#10;\n^\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:87: Unsupported: Ignoring delay on this delayed statement.\n#10;\n^\n%Warning-STMTDLY: data/full_repos/permissive/281895416/day_9/lab1_1/src/fifo/FIFOTestBench.sv:89: Unsupported: Ignoring delay on this delayed statement.\n#120;\n^\n%Error: Exiting due to 6 error(s), 9 warning(s)\n ... See the manual and https://verilator.org for more assistance.\n'
108,686
module
module FIFOTestBench(); parameter RESOLUTION_H = 1280, RESOLUTION_V = 960, V_BOTTOM = 1, V_SYNC = 3, V_TOP = 30, H_FRONT = 80, H_SYNC = 136, H_BACK = 216, X_WIRE_WIDTH = $clog2 (RESOLUTION_H+H_FRONT+H_SYNC+H_BACK), Y_WIRE_WIDTH = $clog2 (RESOLUTION_V+V_BOTTOM+V_SYNC+V_TOP), FIFODEPTH=10; reg clk,reset_n,push,pop,empty,full; reg [X_WIRE_WIDTH-1:0] hpos,hpos_read; reg [Y_WIRE_WIDTH-1:0] vpos,vpos_read; reg [2:0] RGBin,RGB; FIFO_top #( .RESOLUTION_H(RESOLUTION_H), .RESOLUTION_V(RESOLUTION_V), .V_BOTTOM(V_BOTTOM), .V_SYNC(V_SYNC), .V_TOP(V_TOP), .H_FRONT(H_FRONT), .H_SYNC(H_SYNC), .H_BACK(H_BACK), .FIFODEPTH(FIFODEPTH) ) DUT ( .clk(clk), .rst(~reset_n), .push(push), .toppop(pop), .hpos_write(hpos), .vpos_write(vpos), .RGB_write(RGBin), .hpos_read(hpos_read), .vpos_read(vpos_read), .RGB_read(RGB), .empty(empty), .full(full) ); always #5 clk=~clk; initial begin clk=0; push=0; pop=0; hpos=0; vpos=0; hpos_read=0; vpos_read=0; RGBin=0; reset_n=0; #20; reset_n=1; #10 push=1; for(integer i=0; i<10; i++) begin hpos=$urandom_range(0,1279); vpos=$urandom_range(0,959); RGBin=$urandom_range(0,7); #10; end push=0; #10; pop=1; #550; pop=0; push=1; for(integer i=0; i<7; i++) begin hpos=$urandom_range(0,1279); vpos=$urandom_range(0,959); RGBin=$urandom_range(0,7); #10; end push=0; #10; pop=1; #120; $stop; end endmodule
module FIFOTestBench();
parameter RESOLUTION_H = 1280, RESOLUTION_V = 960, V_BOTTOM = 1, V_SYNC = 3, V_TOP = 30, H_FRONT = 80, H_SYNC = 136, H_BACK = 216, X_WIRE_WIDTH = $clog2 (RESOLUTION_H+H_FRONT+H_SYNC+H_BACK), Y_WIRE_WIDTH = $clog2 (RESOLUTION_V+V_BOTTOM+V_SYNC+V_TOP), FIFODEPTH=10; reg clk,reset_n,push,pop,empty,full; reg [X_WIRE_WIDTH-1:0] hpos,hpos_read; reg [Y_WIRE_WIDTH-1:0] vpos,vpos_read; reg [2:0] RGBin,RGB; FIFO_top #( .RESOLUTION_H(RESOLUTION_H), .RESOLUTION_V(RESOLUTION_V), .V_BOTTOM(V_BOTTOM), .V_SYNC(V_SYNC), .V_TOP(V_TOP), .H_FRONT(H_FRONT), .H_SYNC(H_SYNC), .H_BACK(H_BACK), .FIFODEPTH(FIFODEPTH) ) DUT ( .clk(clk), .rst(~reset_n), .push(push), .toppop(pop), .hpos_write(hpos), .vpos_write(vpos), .RGB_write(RGBin), .hpos_read(hpos_read), .vpos_read(vpos_read), .RGB_read(RGB), .empty(empty), .full(full) ); always #5 clk=~clk; initial begin clk=0; push=0; pop=0; hpos=0; vpos=0; hpos_read=0; vpos_read=0; RGBin=0; reset_n=0; #20; reset_n=1; #10 push=1; for(integer i=0; i<10; i++) begin hpos=$urandom_range(0,1279); vpos=$urandom_range(0,959); RGBin=$urandom_range(0,7); #10; end push=0; #10; pop=1; #550; pop=0; push=1; for(integer i=0; i<7; i++) begin hpos=$urandom_range(0,1279); vpos=$urandom_range(0,959); RGBin=$urandom_range(0,7); #10; end push=0; #10; pop=1; #120; $stop; end endmodule
27
9
data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v
469,509,877
lab7_clks.v
v
382
123
[]
[]
[]
[(24, 55), (57, 188), (190, 273), (276, 303), (306, 380)]
null
null
1: b'%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:294: Cannot find file containing module: \'XOR2\'\n XOR2 I_36_32 (.I0(T), \n ^~~~\n ... Looked in:\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.v\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.sv\n XOR2\n XOR2.v\n XOR2.sv\n obj_dir/XOR2\n obj_dir/XOR2.v\n obj_dir/XOR2.sv\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:298: Cannot find file containing module: \'FDCE\'\n FDCE I_36_35 (.C(C), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:364: Cannot find file containing module: \'AND4\'\n AND4 I_36_31 (.I0(Q3_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:369: Cannot find file containing module: \'AND3\'\n AND3 I_36_32 (.I0(Q2_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:373: Cannot find file containing module: \'AND2\'\n AND2 I_36_33 (.I0(Q1_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:376: Cannot find file containing module: \'VCC\'\n VCC I_36_58 (.P(XLXN_1));\n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:377: Cannot find file containing module: \'AND2\'\n AND2 I_36_67 (.I0(CE), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:265: Cannot find file containing module: \'BUFG\'\n BUFG XLXI_401 (.I(clk_int),.O(clk_out)); \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:270: Cannot find file containing module: \'BUF\'\n BUF XLXI_336 (.I(XLXN_79),.O(seldig)); \n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:71: Cannot find file containing module: \'IBUF\'\n IBUF clkin1_ibufg\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:112: Cannot find file containing module: \'MMCME2_ADV\'\n MMCME2_ADV\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:175: Cannot find file containing module: \'BUFG\'\n BUFG clkf_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:181: Cannot find file containing module: \'BUFG\'\n BUFG clkout1_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:37: Cannot find file containing module: \'STARTUPE2\'\n STARTUPE2 #(.PROG_USR("FALSE"), \n ^~~~~~~~~\n%Error: Exiting due to 14 error(s)\n'
234,546
module
module lab7_clks( input clkin, input greset, output clk, output digsel, output fastclk); wire clk_int; assign fastclk = clk_int; clk_wiz_0 my_clk_inst (.clk_out1(clk_int), .reset(greset), .locked(), .clk_in1(clkin)); clkcntrl4 slowclk (.clk_int(clk_int), .seldig(digsel), .clk_out(clk), .qsec()); STARTUPE2 #(.PROG_USR("FALSE"), .SIM_CCLK_FREQ(0.0) ) STARTUPE2_inst (.CFGCLK(), .CFGMCLK(), .EOS(), .PREQ(), .CLK(), .GSR(greset), .GTS(), .KEYCLEARB(), .PACK(), .USRCCLKO(), .USRCCLKTS(), .USRDONEO(), .USRDONETS() ); endmodule
module lab7_clks( input clkin, input greset, output clk, output digsel, output fastclk);
wire clk_int; assign fastclk = clk_int; clk_wiz_0 my_clk_inst (.clk_out1(clk_int), .reset(greset), .locked(), .clk_in1(clkin)); clkcntrl4 slowclk (.clk_int(clk_int), .seldig(digsel), .clk_out(clk), .qsec()); STARTUPE2 #(.PROG_USR("FALSE"), .SIM_CCLK_FREQ(0.0) ) STARTUPE2_inst (.CFGCLK(), .CFGMCLK(), .EOS(), .PREQ(), .CLK(), .GSR(greset), .GTS(), .KEYCLEARB(), .PACK(), .USRCCLKO(), .USRCCLKTS(), .USRDONEO(), .USRDONETS() ); endmodule
0
10
data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v
469,509,877
lab7_clks.v
v
382
123
[]
[]
[]
[(24, 55), (57, 188), (190, 273), (276, 303), (306, 380)]
null
null
1: b'%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:294: Cannot find file containing module: \'XOR2\'\n XOR2 I_36_32 (.I0(T), \n ^~~~\n ... Looked in:\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.v\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.sv\n XOR2\n XOR2.v\n XOR2.sv\n obj_dir/XOR2\n obj_dir/XOR2.v\n obj_dir/XOR2.sv\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:298: Cannot find file containing module: \'FDCE\'\n FDCE I_36_35 (.C(C), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:364: Cannot find file containing module: \'AND4\'\n AND4 I_36_31 (.I0(Q3_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:369: Cannot find file containing module: \'AND3\'\n AND3 I_36_32 (.I0(Q2_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:373: Cannot find file containing module: \'AND2\'\n AND2 I_36_33 (.I0(Q1_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:376: Cannot find file containing module: \'VCC\'\n VCC I_36_58 (.P(XLXN_1));\n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:377: Cannot find file containing module: \'AND2\'\n AND2 I_36_67 (.I0(CE), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:265: Cannot find file containing module: \'BUFG\'\n BUFG XLXI_401 (.I(clk_int),.O(clk_out)); \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:270: Cannot find file containing module: \'BUF\'\n BUF XLXI_336 (.I(XLXN_79),.O(seldig)); \n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:71: Cannot find file containing module: \'IBUF\'\n IBUF clkin1_ibufg\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:112: Cannot find file containing module: \'MMCME2_ADV\'\n MMCME2_ADV\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:175: Cannot find file containing module: \'BUFG\'\n BUFG clkf_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:181: Cannot find file containing module: \'BUFG\'\n BUFG clkout1_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:37: Cannot find file containing module: \'STARTUPE2\'\n STARTUPE2 #(.PROG_USR("FALSE"), \n ^~~~~~~~~\n%Error: Exiting due to 14 error(s)\n'
234,546
module
module clk_wiz_0 ( output clk_out1, input reset, output locked, input clk_in1 ); wire clk_in1_clk_wiz_0; wire clk_in2_clk_wiz_0; IBUF clkin1_ibufg (.O (clk_in1_clk_wiz_0), .I (clk_in1)); wire clk_out1_clk_wiz_0; wire clk_out2_clk_wiz_0; wire clk_out3_clk_wiz_0; wire clk_out4_clk_wiz_0; wire clk_out5_clk_wiz_0; wire clk_out6_clk_wiz_0; wire clk_out7_clk_wiz_0; wire [15:0] do_unused; wire drdy_unused; wire psdone_unused; wire locked_int; wire clkfbout_clk_wiz_0; wire clkfbout_buf_clk_wiz_0; wire clkfboutb_unused; wire clkout0b_unused; wire clkout1_unused; wire clkout1b_unused; wire clkout2_unused; wire clkout2b_unused; wire clkout3_unused; wire clkout3b_unused; wire clkout4_unused; wire clkout5_unused; wire clkout6_unused; wire clkfbstopped_unused; wire clkinstopped_unused; wire reset_high; MMCME2_ADV #(.BANDWIDTH ("OPTIMIZED"), .CLKOUT4_CASCADE ("FALSE"), .COMPENSATION ("ZHOLD"), .STARTUP_WAIT ("FALSE"), .DIVCLK_DIVIDE (1), .CLKFBOUT_MULT_F (9.125), .CLKFBOUT_PHASE (0.000), .CLKFBOUT_USE_FINE_PS ("FALSE"), .CLKOUT0_DIVIDE_F (36.500), .CLKOUT0_PHASE (0.000), .CLKOUT0_DUTY_CYCLE (0.500), .CLKOUT0_USE_FINE_PS ("FALSE"), .CLKIN1_PERIOD (10.0)) mmcm_adv_inst ( .CLKFBOUT (clkfbout_clk_wiz_0), .CLKFBOUTB (clkfboutb_unused), .CLKOUT0 (clk_out1_clk_wiz_0), .CLKOUT0B (clkout0b_unused), .CLKOUT1 (clkout1_unused), .CLKOUT1B (clkout1b_unused), .CLKOUT2 (clkout2_unused), .CLKOUT2B (clkout2b_unused), .CLKOUT3 (clkout3_unused), .CLKOUT3B (clkout3b_unused), .CLKOUT4 (clkout4_unused), .CLKOUT5 (clkout5_unused), .CLKOUT6 (clkout6_unused), .CLKFBIN (clkfbout_buf_clk_wiz_0), .CLKIN1 (clk_in1_clk_wiz_0), .CLKIN2 (1'b0), .CLKINSEL (1'b1), .DADDR (7'h0), .DCLK (1'b0), .DEN (1'b0), .DI (16'h0), .DO (do_unused), .DRDY (drdy_unused), .DWE (1'b0), .PSCLK (1'b0), .PSEN (1'b0), .PSINCDEC (1'b0), .PSDONE (psdone_unused), .LOCKED (locked_int), .CLKINSTOPPED (clkinstopped_unused), .CLKFBSTOPPED (clkfbstopped_unused), .PWRDWN (1'b0), .RST (reset_high)); assign reset_high = reset; assign locked = locked_int; BUFG clkf_buf (.O (clkfbout_buf_clk_wiz_0), .I (clkfbout_clk_wiz_0)); BUFG clkout1_buf (.O (clk_out1), .I (clk_out1_clk_wiz_0)); endmodule
module clk_wiz_0 ( output clk_out1, input reset, output locked, input clk_in1 );
wire clk_in1_clk_wiz_0; wire clk_in2_clk_wiz_0; IBUF clkin1_ibufg (.O (clk_in1_clk_wiz_0), .I (clk_in1)); wire clk_out1_clk_wiz_0; wire clk_out2_clk_wiz_0; wire clk_out3_clk_wiz_0; wire clk_out4_clk_wiz_0; wire clk_out5_clk_wiz_0; wire clk_out6_clk_wiz_0; wire clk_out7_clk_wiz_0; wire [15:0] do_unused; wire drdy_unused; wire psdone_unused; wire locked_int; wire clkfbout_clk_wiz_0; wire clkfbout_buf_clk_wiz_0; wire clkfboutb_unused; wire clkout0b_unused; wire clkout1_unused; wire clkout1b_unused; wire clkout2_unused; wire clkout2b_unused; wire clkout3_unused; wire clkout3b_unused; wire clkout4_unused; wire clkout5_unused; wire clkout6_unused; wire clkfbstopped_unused; wire clkinstopped_unused; wire reset_high; MMCME2_ADV #(.BANDWIDTH ("OPTIMIZED"), .CLKOUT4_CASCADE ("FALSE"), .COMPENSATION ("ZHOLD"), .STARTUP_WAIT ("FALSE"), .DIVCLK_DIVIDE (1), .CLKFBOUT_MULT_F (9.125), .CLKFBOUT_PHASE (0.000), .CLKFBOUT_USE_FINE_PS ("FALSE"), .CLKOUT0_DIVIDE_F (36.500), .CLKOUT0_PHASE (0.000), .CLKOUT0_DUTY_CYCLE (0.500), .CLKOUT0_USE_FINE_PS ("FALSE"), .CLKIN1_PERIOD (10.0)) mmcm_adv_inst ( .CLKFBOUT (clkfbout_clk_wiz_0), .CLKFBOUTB (clkfboutb_unused), .CLKOUT0 (clk_out1_clk_wiz_0), .CLKOUT0B (clkout0b_unused), .CLKOUT1 (clkout1_unused), .CLKOUT1B (clkout1b_unused), .CLKOUT2 (clkout2_unused), .CLKOUT2B (clkout2b_unused), .CLKOUT3 (clkout3_unused), .CLKOUT3B (clkout3b_unused), .CLKOUT4 (clkout4_unused), .CLKOUT5 (clkout5_unused), .CLKOUT6 (clkout6_unused), .CLKFBIN (clkfbout_buf_clk_wiz_0), .CLKIN1 (clk_in1_clk_wiz_0), .CLKIN2 (1'b0), .CLKINSEL (1'b1), .DADDR (7'h0), .DCLK (1'b0), .DEN (1'b0), .DI (16'h0), .DO (do_unused), .DRDY (drdy_unused), .DWE (1'b0), .PSCLK (1'b0), .PSEN (1'b0), .PSINCDEC (1'b0), .PSDONE (psdone_unused), .LOCKED (locked_int), .CLKINSTOPPED (clkinstopped_unused), .CLKFBSTOPPED (clkfbstopped_unused), .PWRDWN (1'b0), .RST (reset_high)); assign reset_high = reset; assign locked = locked_int; BUFG clkf_buf (.O (clkfbout_buf_clk_wiz_0), .I (clkfbout_clk_wiz_0)); BUFG clkout1_buf (.O (clk_out1), .I (clk_out1_clk_wiz_0)); endmodule
0
11
data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v
469,509,877
lab7_clks.v
v
382
123
[]
[]
[]
[(24, 55), (57, 188), (190, 273), (276, 303), (306, 380)]
null
null
1: b'%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:294: Cannot find file containing module: \'XOR2\'\n XOR2 I_36_32 (.I0(T), \n ^~~~\n ... Looked in:\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.v\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.sv\n XOR2\n XOR2.v\n XOR2.sv\n obj_dir/XOR2\n obj_dir/XOR2.v\n obj_dir/XOR2.sv\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:298: Cannot find file containing module: \'FDCE\'\n FDCE I_36_35 (.C(C), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:364: Cannot find file containing module: \'AND4\'\n AND4 I_36_31 (.I0(Q3_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:369: Cannot find file containing module: \'AND3\'\n AND3 I_36_32 (.I0(Q2_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:373: Cannot find file containing module: \'AND2\'\n AND2 I_36_33 (.I0(Q1_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:376: Cannot find file containing module: \'VCC\'\n VCC I_36_58 (.P(XLXN_1));\n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:377: Cannot find file containing module: \'AND2\'\n AND2 I_36_67 (.I0(CE), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:265: Cannot find file containing module: \'BUFG\'\n BUFG XLXI_401 (.I(clk_int),.O(clk_out)); \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:270: Cannot find file containing module: \'BUF\'\n BUF XLXI_336 (.I(XLXN_79),.O(seldig)); \n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:71: Cannot find file containing module: \'IBUF\'\n IBUF clkin1_ibufg\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:112: Cannot find file containing module: \'MMCME2_ADV\'\n MMCME2_ADV\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:175: Cannot find file containing module: \'BUFG\'\n BUFG clkf_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:181: Cannot find file containing module: \'BUFG\'\n BUFG clkout1_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:37: Cannot find file containing module: \'STARTUPE2\'\n STARTUPE2 #(.PROG_USR("FALSE"), \n ^~~~~~~~~\n%Error: Exiting due to 14 error(s)\n'
234,546
module
module clkcntrl4( input clk_int, output seldig, output clk_out, output qsec); wire XLXN_70; wire XLXN_71; wire XLXN_72; wire XLXN_74; wire XLXN_75; wire XLXN_77; wire XLXN_79; CB4CE_MXILINX_clkcntrl4 XLXI_37 (.C(clk_int), .CE(1'b1), .CLR(1'b0), .CEO(), .Q0(), .Q1(XLXN_74), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_38 (.C(clk_out), .CE(1'b1), .CLR(1'b0), .CEO(XLXN_70), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_39 (.C(clk_out), .CE(XLXN_70), .CLR(1'b0), .CEO(XLXN_71), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_40 (.C(clk_out), .CE(XLXN_71), .CLR(1'b0), .CEO(XLXN_77), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_45 (.C(clk_out), .CE(XLXN_77), .CLR(1'b0), .CEO(XLXN_79), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_44 (.C(clk_out), .CE(XLXN_79), .CLR(1'b0), .CEO(XLXN_75), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); BUFG XLXI_401 (.I(clk_int),.O(clk_out)); `ifdef XILINX_SIMULATOR BUF XLXI_336 (.I(XLXN_70),.O(seldig)); `else BUF XLXI_336 (.I(XLXN_79),.O(seldig)); `endif endmodule
module clkcntrl4( input clk_int, output seldig, output clk_out, output qsec);
wire XLXN_70; wire XLXN_71; wire XLXN_72; wire XLXN_74; wire XLXN_75; wire XLXN_77; wire XLXN_79; CB4CE_MXILINX_clkcntrl4 XLXI_37 (.C(clk_int), .CE(1'b1), .CLR(1'b0), .CEO(), .Q0(), .Q1(XLXN_74), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_38 (.C(clk_out), .CE(1'b1), .CLR(1'b0), .CEO(XLXN_70), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_39 (.C(clk_out), .CE(XLXN_70), .CLR(1'b0), .CEO(XLXN_71), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_40 (.C(clk_out), .CE(XLXN_71), .CLR(1'b0), .CEO(XLXN_77), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_45 (.C(clk_out), .CE(XLXN_77), .CLR(1'b0), .CEO(XLXN_79), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); CB4CE_MXILINX_clkcntrl4 XLXI_44 (.C(clk_out), .CE(XLXN_79), .CLR(1'b0), .CEO(XLXN_75), .Q0(), .Q1(), .Q2(), .Q3(), .TC()); BUFG XLXI_401 (.I(clk_int),.O(clk_out)); `ifdef XILINX_SIMULATOR BUF XLXI_336 (.I(XLXN_70),.O(seldig)); `else BUF XLXI_336 (.I(XLXN_79),.O(seldig)); `endif endmodule
0
12
data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v
469,509,877
lab7_clks.v
v
382
123
[]
[]
[]
[(24, 55), (57, 188), (190, 273), (276, 303), (306, 380)]
null
null
1: b'%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:294: Cannot find file containing module: \'XOR2\'\n XOR2 I_36_32 (.I0(T), \n ^~~~\n ... Looked in:\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.v\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.sv\n XOR2\n XOR2.v\n XOR2.sv\n obj_dir/XOR2\n obj_dir/XOR2.v\n obj_dir/XOR2.sv\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:298: Cannot find file containing module: \'FDCE\'\n FDCE I_36_35 (.C(C), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:364: Cannot find file containing module: \'AND4\'\n AND4 I_36_31 (.I0(Q3_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:369: Cannot find file containing module: \'AND3\'\n AND3 I_36_32 (.I0(Q2_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:373: Cannot find file containing module: \'AND2\'\n AND2 I_36_33 (.I0(Q1_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:376: Cannot find file containing module: \'VCC\'\n VCC I_36_58 (.P(XLXN_1));\n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:377: Cannot find file containing module: \'AND2\'\n AND2 I_36_67 (.I0(CE), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:265: Cannot find file containing module: \'BUFG\'\n BUFG XLXI_401 (.I(clk_int),.O(clk_out)); \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:270: Cannot find file containing module: \'BUF\'\n BUF XLXI_336 (.I(XLXN_79),.O(seldig)); \n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:71: Cannot find file containing module: \'IBUF\'\n IBUF clkin1_ibufg\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:112: Cannot find file containing module: \'MMCME2_ADV\'\n MMCME2_ADV\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:175: Cannot find file containing module: \'BUFG\'\n BUFG clkf_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:181: Cannot find file containing module: \'BUFG\'\n BUFG clkout1_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:37: Cannot find file containing module: \'STARTUPE2\'\n STARTUPE2 #(.PROG_USR("FALSE"), \n ^~~~~~~~~\n%Error: Exiting due to 14 error(s)\n'
234,546
module
module FTCE_MXILINX_clkcntrl4(C, CE, CLR, T, Q); parameter INIT = 1'b0; input C; input CE; input CLR; input T; output Q; wire TQ; wire Q_DUMMY; assign Q = Q_DUMMY; XOR2 I_36_32 (.I0(T), .I1(Q_DUMMY), .O(TQ)); FDCE I_36_35 (.C(C), .CE(CE), .CLR(CLR), .D(TQ), .Q(Q_DUMMY)); endmodule
module FTCE_MXILINX_clkcntrl4(C, CE, CLR, T, Q);
parameter INIT = 1'b0; input C; input CE; input CLR; input T; output Q; wire TQ; wire Q_DUMMY; assign Q = Q_DUMMY; XOR2 I_36_32 (.I0(T), .I1(Q_DUMMY), .O(TQ)); FDCE I_36_35 (.C(C), .CE(CE), .CLR(CLR), .D(TQ), .Q(Q_DUMMY)); endmodule
0
13
data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v
469,509,877
lab7_clks.v
v
382
123
[]
[]
[]
[(24, 55), (57, 188), (190, 273), (276, 303), (306, 380)]
null
null
1: b'%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:294: Cannot find file containing module: \'XOR2\'\n XOR2 I_36_32 (.I0(T), \n ^~~~\n ... Looked in:\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.v\n data/full_repos/permissive/469509877/rtl/libs,data/full_repos/permissive/469509877/XOR2.sv\n XOR2\n XOR2.v\n XOR2.sv\n obj_dir/XOR2\n obj_dir/XOR2.v\n obj_dir/XOR2.sv\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:298: Cannot find file containing module: \'FDCE\'\n FDCE I_36_35 (.C(C), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:364: Cannot find file containing module: \'AND4\'\n AND4 I_36_31 (.I0(Q3_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:369: Cannot find file containing module: \'AND3\'\n AND3 I_36_32 (.I0(Q2_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:373: Cannot find file containing module: \'AND2\'\n AND2 I_36_33 (.I0(Q1_DUMMY), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:376: Cannot find file containing module: \'VCC\'\n VCC I_36_58 (.P(XLXN_1));\n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:377: Cannot find file containing module: \'AND2\'\n AND2 I_36_67 (.I0(CE), \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:265: Cannot find file containing module: \'BUFG\'\n BUFG XLXI_401 (.I(clk_int),.O(clk_out)); \n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:270: Cannot find file containing module: \'BUF\'\n BUF XLXI_336 (.I(XLXN_79),.O(seldig)); \n ^~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:71: Cannot find file containing module: \'IBUF\'\n IBUF clkin1_ibufg\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:112: Cannot find file containing module: \'MMCME2_ADV\'\n MMCME2_ADV\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:175: Cannot find file containing module: \'BUFG\'\n BUFG clkf_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:181: Cannot find file containing module: \'BUFG\'\n BUFG clkout1_buf\n ^~~~\n%Error: data/full_repos/permissive/469509877/rtl/libs/lab7_clks.v:37: Cannot find file containing module: \'STARTUPE2\'\n STARTUPE2 #(.PROG_USR("FALSE"), \n ^~~~~~~~~\n%Error: Exiting due to 14 error(s)\n'
234,546
module
module CB4CE_MXILINX_clkcntrl4(C, CE, CLR, CEO, Q0, Q1, Q2, Q3, TC); input C; input CE; input CLR; output CEO; output Q0; output Q1; output Q2; output Q3; output TC; wire T2; wire T3; wire XLXN_1; wire Q0_DUMMY; wire Q1_DUMMY; wire Q2_DUMMY; wire Q3_DUMMY; wire TC_DUMMY; assign Q0 = Q0_DUMMY; assign Q1 = Q1_DUMMY; assign Q2 = Q2_DUMMY; assign Q3 = Q3_DUMMY; assign TC = TC_DUMMY; (* HU_SET = "I_Q0_69" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q0 (.C(C), .CE(CE), .CLR(CLR), .T(XLXN_1), .Q(Q0_DUMMY)); (* HU_SET = "I_Q1_70" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q1 (.C(C), .CE(CE), .CLR(CLR), .T(Q0_DUMMY), .Q(Q1_DUMMY)); (* HU_SET = "I_Q2_71" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q2 (.C(C), .CE(CE), .CLR(CLR), .T(T2), .Q(Q2_DUMMY)); (* HU_SET = "I_Q3_72" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q3 (.C(C), .CE(CE), .CLR(CLR), .T(T3), .Q(Q3_DUMMY)); AND4 I_36_31 (.I0(Q3_DUMMY), .I1(Q2_DUMMY), .I2(Q1_DUMMY), .I3(Q0_DUMMY), .O(TC_DUMMY)); AND3 I_36_32 (.I0(Q2_DUMMY), .I1(Q1_DUMMY), .I2(Q0_DUMMY), .O(T3)); AND2 I_36_33 (.I0(Q1_DUMMY), .I1(Q0_DUMMY), .O(T2)); VCC I_36_58 (.P(XLXN_1)); AND2 I_36_67 (.I0(CE), .I1(TC_DUMMY), .O(CEO)); endmodule
module CB4CE_MXILINX_clkcntrl4(C, CE, CLR, CEO, Q0, Q1, Q2, Q3, TC);
input C; input CE; input CLR; output CEO; output Q0; output Q1; output Q2; output Q3; output TC; wire T2; wire T3; wire XLXN_1; wire Q0_DUMMY; wire Q1_DUMMY; wire Q2_DUMMY; wire Q3_DUMMY; wire TC_DUMMY; assign Q0 = Q0_DUMMY; assign Q1 = Q1_DUMMY; assign Q2 = Q2_DUMMY; assign Q3 = Q3_DUMMY; assign TC = TC_DUMMY; (* HU_SET = "I_Q0_69" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q0 (.C(C), .CE(CE), .CLR(CLR), .T(XLXN_1), .Q(Q0_DUMMY)); (* HU_SET = "I_Q1_70" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q1 (.C(C), .CE(CE), .CLR(CLR), .T(Q0_DUMMY), .Q(Q1_DUMMY)); (* HU_SET = "I_Q2_71" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q2 (.C(C), .CE(CE), .CLR(CLR), .T(T2), .Q(Q2_DUMMY)); (* HU_SET = "I_Q3_72" *) FTCE_MXILINX_clkcntrl4 #( .INIT(1'b0) ) I_Q3 (.C(C), .CE(CE), .CLR(CLR), .T(T3), .Q(Q3_DUMMY)); AND4 I_36_31 (.I0(Q3_DUMMY), .I1(Q2_DUMMY), .I2(Q1_DUMMY), .I3(Q0_DUMMY), .O(TC_DUMMY)); AND3 I_36_32 (.I0(Q2_DUMMY), .I1(Q1_DUMMY), .I2(Q0_DUMMY), .O(T3)); AND2 I_36_33 (.I0(Q1_DUMMY), .I1(Q0_DUMMY), .O(T2)); VCC I_36_58 (.P(XLXN_1)); AND2 I_36_67 (.I0(CE), .I1(TC_DUMMY), .O(CEO)); endmodule
0
14
data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v
422,213,872
mem_wb_tb.v
v
190
94
[]
['apache license']
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b'%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:24: Cannot find include file: libs.ref/sky130_fd_sc_hd/verilog/primitives.v\n`include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb,data/full_repos/permissive/422213872/libs.ref/sky130_fd_sc_hd/verilog/primitives.v\n data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb,data/full_repos/permissive/422213872/libs.ref/sky130_fd_sc_hd/verilog/primitives.v.v\n data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb,data/full_repos/permissive/422213872/libs.ref/sky130_fd_sc_hd/verilog/primitives.v.sv\n libs.ref/sky130_fd_sc_hd/verilog/primitives.v\n libs.ref/sky130_fd_sc_hd/verilog/primitives.v.v\n libs.ref/sky130_fd_sc_hd/verilog/primitives.v.sv\n obj_dir/libs.ref/sky130_fd_sc_hd/verilog/primitives.v\n obj_dir/libs.ref/sky130_fd_sc_hd/verilog/primitives.v.v\n obj_dir/libs.ref/sky130_fd_sc_hd/verilog/primitives.v.sv\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:25: Cannot find include file: libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v\n`include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:27: Cannot find include file: defines.v\n`include "defines.v" \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:34: Cannot find include file: DFFRAMBB.v\n `include "DFFRAMBB.v" \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:35: Cannot find include file: DFFRAM.v\n `include "DFFRAM.v" \n ^~~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:38: Cannot find include file: mem_wb.v\n`include "mem_wb.v" \n ^~~~~~~~~~\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:70: Unsupported: Ignoring delay on this delayed statement.\n #1;\n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:79: Unsupported: Ignoring delay on this delayed statement.\n always #1 wb_clk_i = ~wb_clk_i;\n ^\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:82: Unsupported or unknown PLI call: $dumpfile\n $dumpfile("mem_wb_tb.vcd");\n ^~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:83: Unsupported or unknown PLI call: $dumpvars\n $dumpvars(0, mem_wb_tb);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:85: syntax error, unexpected \'@\'\n repeat (1000) @(posedge wb_clk_i);\n ^\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:101: Unsupported: Ignoring delay on this delayed statement.\n #2;\n ^\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:103: Unsupported: Ignoring delay on this delayed statement.\n #2;\n ^\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:107: Unsupported or unknown PLI call: $urandom_range\n ref_data[i] = $urandom_range(0, 2**30);\n ^~~~~~~~~~~~~~\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:109: Unsupported: Ignoring delay on this delayed statement.\n #2;\n ^\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:112: Unsupported: Ignoring delay on this delayed statement.\n #6;\n ^\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:122: Unsupported: Ignoring delay on this delayed statement.\n #2;\n ^\n%Warning-STMTDLY: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:124: Unsupported: Ignoring delay on this delayed statement.\n #6;\n ^\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:134: syntax error, unexpected \'@\'\n @(posedge wb_clk_i) begin\n ^\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:144: Unsupported: wait statements\n wait(wb_ack_o == 1);\n ^~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:145: Unsupported: wait statements\n wait(wb_ack_o == 0);\n ^~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:149: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:163: Unsupported: wait statements\n wait(wb_ack_o == 1);\n ^~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:164: Unsupported: wait statements\n wait(wb_ack_o == 0);\n ^~~~\n%Error: data/full_repos/permissive/422213872/caravel/verilog/dv/wb_utests/mem_wb/mem_wb_tb.v:168: syntax error, unexpected end\n end\n ^~~\n%Error: Cannot continue\n'
205,164
module
module mem_wb_tb; reg wb_clk_i; reg wb_rst_i; reg [31:0] wb_adr_i; reg [31:0] wb_dat_i; reg [3:0] wb_sel_i; reg wb_we_i; reg wb_cyc_i; reg wb_stb_i; wire wb_ack_o; wire [31:0] wb_dat_o; reg power1; initial begin wb_clk_i = 0; wb_rst_i = 0; wb_stb_i = 0; wb_we_i = 0; wb_cyc_i = 0; wb_adr_i = 0; wb_dat_i = 0; wb_sel_i = 0; end initial begin power1 <= 1'b0; #1; power1 <= 1'b1; end wire VPWR; wire VGND; assign VGND = 1'b0; assign VPWR = power1; always #1 wb_clk_i = ~wb_clk_i; initial begin $dumpfile("mem_wb_tb.vcd"); $dumpvars(0, mem_wb_tb); repeat (50) begin repeat (1000) @(posedge wb_clk_i); end $display("%c[1;31m",27); $display ("Monitor: Timeout, Test Wishbone Memory Failed"); $display("%c[0m",27); $finish; end integer i; reg [31:0] ref_data [255: 0]; reg [31: 0] read_data; initial begin wb_rst_i = 1; #2; wb_rst_i = 0; #2; for ( i = 0; i < 1; i = i + 1) begin ref_data[i] = $urandom_range(0, 2**30); write(i, ref_data[i]); #2; end #6; for ( i = 0; i < 1; i = i + 1) begin read(i); if (wb_dat_o !== ref_data[i]) begin $display("%c[1;31m",27); $display("Expected %0b, but Got %0b ", ref_data[i], wb_dat_o); $display("Monitor: Wishbone Memory Failed"); $display("%c[0m",27); $finish; end #2; end #6; $display("Success!"); $display ("Monitor: Test Wishbone Memory Passed"); $finish; end task write; input [32:0] addr; input [32:0] data; begin @(posedge wb_clk_i) begin wb_stb_i = 1; wb_cyc_i = 1; wb_sel_i = 4'hF; wb_we_i = 1; wb_adr_i = addr; wb_dat_i = data; $display("Write Cycle Started."); end wait(wb_ack_o == 1); wait(wb_ack_o == 0); wb_cyc_i = 0; wb_stb_i = 0; $display("Write Cycle Ended."); end endtask task read; input [32:0] addr; begin @(posedge wb_clk_i) begin wb_stb_i = 1; wb_cyc_i = 1; wb_we_i = 0; wb_adr_i = addr; $display("Read Cycle Started."); end wait(wb_ack_o == 1); wait(wb_ack_o == 0); wb_cyc_i = 0; wb_stb_i = 0; $display("Read Cycle Ended."); end endtask mem_wb uut( `ifdef USE_POWER_PINS .VPWR(VPWR), .VGND(VGND), `endif .wb_clk_i(wb_clk_i), .wb_rst_i(wb_rst_i), .wb_adr_i(wb_adr_i), .wb_dat_i(wb_dat_i), .wb_sel_i(wb_sel_i), .wb_we_i(wb_we_i), .wb_cyc_i(wb_cyc_i), .wb_stb_i(wb_stb_i), .wb_ack_o(wb_ack_o), .wb_dat_o(wb_dat_o) ); endmodule
module mem_wb_tb;
reg wb_clk_i; reg wb_rst_i; reg [31:0] wb_adr_i; reg [31:0] wb_dat_i; reg [3:0] wb_sel_i; reg wb_we_i; reg wb_cyc_i; reg wb_stb_i; wire wb_ack_o; wire [31:0] wb_dat_o; reg power1; initial begin wb_clk_i = 0; wb_rst_i = 0; wb_stb_i = 0; wb_we_i = 0; wb_cyc_i = 0; wb_adr_i = 0; wb_dat_i = 0; wb_sel_i = 0; end initial begin power1 <= 1'b0; #1; power1 <= 1'b1; end wire VPWR; wire VGND; assign VGND = 1'b0; assign VPWR = power1; always #1 wb_clk_i = ~wb_clk_i; initial begin $dumpfile("mem_wb_tb.vcd"); $dumpvars(0, mem_wb_tb); repeat (50) begin repeat (1000) @(posedge wb_clk_i); end $display("%c[1;31m",27); $display ("Monitor: Timeout, Test Wishbone Memory Failed"); $display("%c[0m",27); $finish; end integer i; reg [31:0] ref_data [255: 0]; reg [31: 0] read_data; initial begin wb_rst_i = 1; #2; wb_rst_i = 0; #2; for ( i = 0; i < 1; i = i + 1) begin ref_data[i] = $urandom_range(0, 2**30); write(i, ref_data[i]); #2; end #6; for ( i = 0; i < 1; i = i + 1) begin read(i); if (wb_dat_o !== ref_data[i]) begin $display("%c[1;31m",27); $display("Expected %0b, but Got %0b ", ref_data[i], wb_dat_o); $display("Monitor: Wishbone Memory Failed"); $display("%c[0m",27); $finish; end #2; end #6; $display("Success!"); $display ("Monitor: Test Wishbone Memory Passed"); $finish; end task write; input [32:0] addr; input [32:0] data; begin @(posedge wb_clk_i) begin wb_stb_i = 1; wb_cyc_i = 1; wb_sel_i = 4'hF; wb_we_i = 1; wb_adr_i = addr; wb_dat_i = data; $display("Write Cycle Started."); end wait(wb_ack_o == 1); wait(wb_ack_o == 0); wb_cyc_i = 0; wb_stb_i = 0; $display("Write Cycle Ended."); end endtask task read; input [32:0] addr; begin @(posedge wb_clk_i) begin wb_stb_i = 1; wb_cyc_i = 1; wb_we_i = 0; wb_adr_i = addr; $display("Read Cycle Started."); end wait(wb_ack_o == 1); wait(wb_ack_o == 0); wb_cyc_i = 0; wb_stb_i = 0; $display("Read Cycle Ended."); end endtask mem_wb uut( `ifdef USE_POWER_PINS .VPWR(VPWR), .VGND(VGND), `endif .wb_clk_i(wb_clk_i), .wb_rst_i(wb_rst_i), .wb_adr_i(wb_adr_i), .wb_dat_i(wb_dat_i), .wb_sel_i(wb_sel_i), .wb_we_i(wb_we_i), .wb_cyc_i(wb_cyc_i), .wb_stb_i(wb_stb_i), .wb_ack_o(wb_ack_o), .wb_dat_o(wb_dat_o) ); endmodule
1
15
data/full_repos/permissive/452215364/sys/iir_filter.v
452,215,364
iir_filter.v
v
214
116
[]
['general public license', 'free software foundation']
[]
null
line:178: before: "]"
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/452215364/sys/iir_filter.v:189: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'IIR_filter\'\nmodule IIR_filter\n ^~~~~~~~~~\n : ... Top module \'DC_blocker\'\nmodule DC_blocker\n ^~~~~~~~~~\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'40\'h8000000000\' generates 40 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Implicit conversion of real to integer\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator VAR \'pcoeff_x\' expects 40 bits on the Initial value, but Initial value\'s CONST \'32\'h0\' generates 32 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~\n%Error: Exiting due to 10 warning(s)\n'
227,845
module
module IIR_filter #( parameter use_params = 1, parameter stereo = 1, parameter coeff_x = 0.00000774701983513660, parameter coeff_x0 = 3, parameter coeff_x1 = 3, parameter coeff_x2 = 1, parameter coeff_y0 = -2.96438150626551080000, parameter coeff_y1 = 2.92939452735121100000, parameter coeff_y2 = -0.96500747158831091000 ) ( input clk, input reset, input ce, input sample_ce, input [39:0] cx, input [7:0] cx0, input [7:0] cx1, input [7:0] cx2, input [23:0] cy0, input [23:0] cy1, input [23:0] cy2, input [15:0] input_l, input_r, output [15:0] output_l, output_r ); localparam [39:0] pcoeff_x = coeff_x * 40'h8000000000; localparam [31:0] pcoeff_y0 = coeff_y0 * 24'h200000; localparam [31:0] pcoeff_y1 = coeff_y1 * 24'h200000; localparam [31:0] pcoeff_y2 = coeff_y2 * 24'h200000; wire [39:0] vcoeff = use_params ? pcoeff_x : cx; wire [23:0] vcoeff_y0 = use_params ? pcoeff_y0[23:0] : cy0; wire [23:0] vcoeff_y1 = use_params ? pcoeff_y1[23:0] : cy1; wire [23:0] vcoeff_y2 = use_params ? pcoeff_y2[23:0] : cy2; wire [59:0] inp_mul = $signed(inp) * $signed(vcoeff); wire [39:0] x = inp_mul[59:20]; wire [39:0] y = x + tap0; wire [39:0] tap0; iir_filter_tap iir_tap_0 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x0[7:0] : cx0), .cy(vcoeff_y0), .x(x), .y(y), .z(tap1), .tap(tap0) ); wire [39:0] tap1; iir_filter_tap iir_tap_1 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x1[7:0] : cx1), .cy(vcoeff_y1), .x(x), .y(y), .z(tap2), .tap(tap1) ); wire [39:0] tap2; iir_filter_tap iir_tap_2 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x2[7:0] : cx2), .cy(vcoeff_y2), .x(x), .y(y), .z(0), .tap(tap2) ); wire [15:0] y_clamp = (~y[39] & |y[38:35]) ? 16'h7FFF : (y[39] & ~&y[38:35]) ? 16'h8000 : y[35:20]; reg ch = 0; reg [15:0] out_l, out_r, out_m; reg [15:0] inp, inp_m; always @(posedge clk) if (ce) begin if(!stereo) begin ch <= 0; inp <= input_l; out_l <= y_clamp; out_r <= y_clamp; end else begin ch <= ~ch; if(ch) begin out_m <= y_clamp; inp <= inp_m; end else begin out_l <= out_m; out_r <= y_clamp; inp <= input_l; inp_m <= input_r; end end end reg [31:0] out; always @(posedge clk) if (sample_ce) out <= {out_l, out_r}; assign {output_l, output_r} = out; endmodule
module IIR_filter #( parameter use_params = 1, parameter stereo = 1, parameter coeff_x = 0.00000774701983513660, parameter coeff_x0 = 3, parameter coeff_x1 = 3, parameter coeff_x2 = 1, parameter coeff_y0 = -2.96438150626551080000, parameter coeff_y1 = 2.92939452735121100000, parameter coeff_y2 = -0.96500747158831091000 ) ( input clk, input reset, input ce, input sample_ce, input [39:0] cx, input [7:0] cx0, input [7:0] cx1, input [7:0] cx2, input [23:0] cy0, input [23:0] cy1, input [23:0] cy2, input [15:0] input_l, input_r, output [15:0] output_l, output_r );
localparam [39:0] pcoeff_x = coeff_x * 40'h8000000000; localparam [31:0] pcoeff_y0 = coeff_y0 * 24'h200000; localparam [31:0] pcoeff_y1 = coeff_y1 * 24'h200000; localparam [31:0] pcoeff_y2 = coeff_y2 * 24'h200000; wire [39:0] vcoeff = use_params ? pcoeff_x : cx; wire [23:0] vcoeff_y0 = use_params ? pcoeff_y0[23:0] : cy0; wire [23:0] vcoeff_y1 = use_params ? pcoeff_y1[23:0] : cy1; wire [23:0] vcoeff_y2 = use_params ? pcoeff_y2[23:0] : cy2; wire [59:0] inp_mul = $signed(inp) * $signed(vcoeff); wire [39:0] x = inp_mul[59:20]; wire [39:0] y = x + tap0; wire [39:0] tap0; iir_filter_tap iir_tap_0 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x0[7:0] : cx0), .cy(vcoeff_y0), .x(x), .y(y), .z(tap1), .tap(tap0) ); wire [39:0] tap1; iir_filter_tap iir_tap_1 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x1[7:0] : cx1), .cy(vcoeff_y1), .x(x), .y(y), .z(tap2), .tap(tap1) ); wire [39:0] tap2; iir_filter_tap iir_tap_2 ( .clk(clk), .reset(reset), .ce(ce), .ch(ch), .cx(use_params ? coeff_x2[7:0] : cx2), .cy(vcoeff_y2), .x(x), .y(y), .z(0), .tap(tap2) ); wire [15:0] y_clamp = (~y[39] & |y[38:35]) ? 16'h7FFF : (y[39] & ~&y[38:35]) ? 16'h8000 : y[35:20]; reg ch = 0; reg [15:0] out_l, out_r, out_m; reg [15:0] inp, inp_m; always @(posedge clk) if (ce) begin if(!stereo) begin ch <= 0; inp <= input_l; out_l <= y_clamp; out_r <= y_clamp; end else begin ch <= ~ch; if(ch) begin out_m <= y_clamp; inp <= inp_m; end else begin out_l <= out_m; out_r <= y_clamp; inp <= input_l; inp_m <= input_r; end end end reg [31:0] out; always @(posedge clk) if (sample_ce) out <= {out_l, out_r}; assign {output_l, output_r} = out; endmodule
0
16
data/full_repos/permissive/452215364/sys/iir_filter.v
452,215,364
iir_filter.v
v
214
116
[]
['general public license', 'free software foundation']
[]
null
line:178: before: "]"
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/452215364/sys/iir_filter.v:189: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'IIR_filter\'\nmodule IIR_filter\n ^~~~~~~~~~\n : ... Top module \'DC_blocker\'\nmodule DC_blocker\n ^~~~~~~~~~\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'40\'h8000000000\' generates 40 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Implicit conversion of real to integer\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator VAR \'pcoeff_x\' expects 40 bits on the Initial value, but Initial value\'s CONST \'32\'h0\' generates 32 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~\n%Error: Exiting due to 10 warning(s)\n'
227,845
module
module iir_filter_tap ( input clk, input reset, input ce, input ch, input [7:0] cx, input [23:0] cy, input [39:0] x, input [39:0] y, input [39:0] z, output [39:0] tap ); wire signed [60:0] y_mul = $signed(y[36:0]) * $signed(cy); function [39:0] x_mul; input [39:0] x; begin x_mul = 0; if(cx[0]) x_mul = x_mul + {{4{x[39]}}, x[39:4]}; if(cx[1]) x_mul = x_mul + {{3{x[39]}}, x[39:3]}; if(cx[2]) x_mul = x_mul + {{2{x[39]}}, x[39:2]}; if(cx[7]) x_mul = ~x_mul; end endfunction (* ramstyle = "logic" *) reg [39:0] intreg[2]; always @(posedge clk, posedge reset) begin if(reset) {intreg[0],intreg[1]} <= 80'd0; else if(ce) intreg[ch] <= x_mul(x) - y_mul[60:21] + z; end assign tap = intreg[ch]; endmodule
module iir_filter_tap ( input clk, input reset, input ce, input ch, input [7:0] cx, input [23:0] cy, input [39:0] x, input [39:0] y, input [39:0] z, output [39:0] tap );
wire signed [60:0] y_mul = $signed(y[36:0]) * $signed(cy); function [39:0] x_mul; input [39:0] x; begin x_mul = 0; if(cx[0]) x_mul = x_mul + {{4{x[39]}}, x[39:4]}; if(cx[1]) x_mul = x_mul + {{3{x[39]}}, x[39:3]}; if(cx[2]) x_mul = x_mul + {{2{x[39]}}, x[39:2]}; if(cx[7]) x_mul = ~x_mul; end endfunction (* ramstyle = "logic" *) reg [39:0] intreg[2]; always @(posedge clk, posedge reset) begin if(reset) {intreg[0],intreg[1]} <= 80'd0; else if(ce) intreg[ch] <= x_mul(x) - y_mul[60:21] + z; end assign tap = intreg[ch]; endmodule
0
17
data/full_repos/permissive/452215364/sys/iir_filter.v
452,215,364
iir_filter.v
v
214
116
[]
['general public license', 'free software foundation']
[]
null
line:178: before: "]"
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/452215364/sys/iir_filter.v:189: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'IIR_filter\'\nmodule IIR_filter\n ^~~~~~~~~~\n : ... Top module \'DC_blocker\'\nmodule DC_blocker\n ^~~~~~~~~~\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'40\'h8000000000\' generates 40 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Implicit conversion of real to integer\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator VAR \'pcoeff_x\' expects 40 bits on the Initial value, but Initial value\'s CONST \'32\'h0\' generates 32 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~\n%Error: Exiting due to 10 warning(s)\n'
227,845
module
module DC_blocker ( input clk, input ce, input mute, input sample_rate, input [15:0] din, output [15:0] dout ); wire [39:0] x = {din[15], din, 23'd0}; wire [39:0] x0 = x - (sample_rate ? {{11{x[39]}}, x[39:11]} : {{10{x[39]}}, x[39:10]}); wire [39:0] y1 = y - (sample_rate ? {{10{y[39]}}, y[39:10]} : {{09{y[39]}}, y[39:09]}); wire [39:0] y0 = x0 - x1 + y1; reg [39:0] x1, y; always @(posedge clk) if(ce) begin x1 <= x0; y <= ^y0[39:38] ? {{2{y0[39]}},{38{y0[38]}}} : y0; end assign dout = mute ? 16'd0 : y[38:23]; endmodule
module DC_blocker ( input clk, input ce, input mute, input sample_rate, input [15:0] din, output [15:0] dout );
wire [39:0] x = {din[15], din, 23'd0}; wire [39:0] x0 = x - (sample_rate ? {{11{x[39]}}, x[39:11]} : {{10{x[39]}}, x[39:10]}); wire [39:0] y1 = y - (sample_rate ? {{10{y[39]}}, y[39:10]} : {{09{y[39]}}, y[39:09]}); wire [39:0] y0 = x0 - x1 + y1; reg [39:0] x1, y; always @(posedge clk) if(ce) begin x1 <= x0; y <= ^y0[39:38] ? {{2{y0[39]}},{38{y0[38]}}} : y0; end assign dout = mute ? 16'd0 : y[38:23]; endmodule
0
18
data/full_repos/permissive/452215364/sys/iir_filter.v
452,215,364
iir_filter.v
v
214
116
[]
['general public license', 'free software foundation']
[]
null
line:178: before: "]"
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/452215364/sys/iir_filter.v:189: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'IIR_filter\'\nmodule IIR_filter\n ^~~~~~~~~~\n : ... Top module \'DC_blocker\'\nmodule DC_blocker\n ^~~~~~~~~~\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'40\'h8000000000\' generates 40 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Implicit conversion of real to integer\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:55: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y0 = coeff_y0 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:56: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y1 = coeff_y1 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Operator ITORD expects 32 bits on the LHS, but LHS\'s CONST \'24\'h200000\' generates 24 bits.\n : ... In instance IIR_filter\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^~~~~~~~~~\n%Warning-REALCVT: data/full_repos/permissive/452215364/sys/iir_filter.v:57: Implicit conversion of real to integer\nlocalparam [31:0] pcoeff_y2 = coeff_y2 * 24\'h200000;\n ^\n%Warning-WIDTH: data/full_repos/permissive/452215364/sys/iir_filter.v:54: Operator VAR \'pcoeff_x\' expects 40 bits on the Initial value, but Initial value\'s CONST \'32\'h0\' generates 32 bits.\n : ... In instance IIR_filter\nlocalparam [39:0] pcoeff_x = coeff_x * 40\'h8000000000;\n ^~~~~~~~\n%Error: Exiting due to 10 warning(s)\n'
227,845
function
function [39:0] x_mul; input [39:0] x; begin x_mul = 0; if(cx[0]) x_mul = x_mul + {{4{x[39]}}, x[39:4]}; if(cx[1]) x_mul = x_mul + {{3{x[39]}}, x[39:3]}; if(cx[2]) x_mul = x_mul + {{2{x[39]}}, x[39:2]}; if(cx[7]) x_mul = ~x_mul; end endfunction
function [39:0] x_mul;
input [39:0] x; begin x_mul = 0; if(cx[0]) x_mul = x_mul + {{4{x[39]}}, x[39:4]}; if(cx[1]) x_mul = x_mul + {{3{x[39]}}, x[39:3]}; if(cx[2]) x_mul = x_mul + {{2{x[39]}}, x[39:2]}; if(cx[7]) x_mul = ~x_mul; end endfunction
0
19
data/full_repos/permissive/260452587/p02_Cirucuits/c10_Latches_and_Flip_Flops/s17_edgecapture.v
260,452,587
s17_edgecapture.v
v
34
45
[]
[]
[]
null
line:27 column:21: Illegal character "'"
data/verilator_xmls/fb3134b3-ae0d-4149-99eb-d333bacad2f7.xml
null
99,210
module
module top_module ( input clk, input reset, input [31:0] in, output [31:0] out ); reg [31:0] edge_r; always @(posedge clk) begin edge_r <= in; end wire [31:0] falling; assign falling = edge_r & ~in; reg [31:0] q_r; always @(posedge clk) begin if (reset) q_r <= '0; else q_r <= falling | q_r; end assign out = q_r; endmodule
module top_module ( input clk, input reset, input [31:0] in, output [31:0] out );
reg [31:0] edge_r; always @(posedge clk) begin edge_r <= in; end wire [31:0] falling; assign falling = edge_r & ~in; reg [31:0] q_r; always @(posedge clk) begin if (reset) q_r <= '0; else q_r <= falling | q_r; end assign out = q_r; endmodule
5
21
data/full_repos/permissive/293497707/agnus_blitter_minterm.v
293,497,707
agnus_blitter_minterm.v
v
49
66
[]
[]
[]
[(7, 47)]
null
data/verilator_xmls/bd386d26-0c0b-4900-a608-d0ae2cc323e4.xml
null
114,982
module
module agnus_blitter_minterm ( input [7:0] lf, input [15:0] ain, input [15:0] bin, input [15:0] cin, output [15:0] out ); reg [15:0] mt0; reg [15:0] mt1; reg [15:0] mt2; reg [15:0] mt3; reg [15:0] mt4; reg [15:0] mt5; reg [15:0] mt6; reg [15:0] mt7; integer j; always @(ain or bin or cin or lf) for (j=15; j>=0; j=j-1) begin mt0[j] = ~ain[j] & ~bin[j] & ~cin[j] & lf[0]; mt1[j] = ~ain[j] & ~bin[j] & cin[j] & lf[1]; mt2[j] = ~ain[j] & bin[j] & ~cin[j] & lf[2]; mt3[j] = ~ain[j] & bin[j] & cin[j] & lf[3]; mt4[j] = ain[j] & ~bin[j] & ~cin[j] & lf[4]; mt5[j] = ain[j] & ~bin[j] & cin[j] & lf[5]; mt6[j] = ain[j] & bin[j] & ~cin[j] & lf[6]; mt7[j] = ain[j] & bin[j] & cin[j] & lf[7]; end assign out = mt0 | mt1 | mt2 | mt3 | mt4 | mt5 | mt6 | mt7; endmodule
module agnus_blitter_minterm ( input [7:0] lf, input [15:0] ain, input [15:0] bin, input [15:0] cin, output [15:0] out );
reg [15:0] mt0; reg [15:0] mt1; reg [15:0] mt2; reg [15:0] mt3; reg [15:0] mt4; reg [15:0] mt5; reg [15:0] mt6; reg [15:0] mt7; integer j; always @(ain or bin or cin or lf) for (j=15; j>=0; j=j-1) begin mt0[j] = ~ain[j] & ~bin[j] & ~cin[j] & lf[0]; mt1[j] = ~ain[j] & ~bin[j] & cin[j] & lf[1]; mt2[j] = ~ain[j] & bin[j] & ~cin[j] & lf[2]; mt3[j] = ~ain[j] & bin[j] & cin[j] & lf[3]; mt4[j] = ain[j] & ~bin[j] & ~cin[j] & lf[4]; mt5[j] = ain[j] & ~bin[j] & cin[j] & lf[5]; mt6[j] = ain[j] & bin[j] & ~cin[j] & lf[6]; mt7[j] = ain[j] & bin[j] & cin[j] & lf[7]; end assign out = mt0 | mt1 | mt2 | mt3 | mt4 | mt5 | mt6 | mt7; endmodule
1
22
data/full_repos/permissive/321916777/verilog/gl/DFFRAM.v
321,916,777
DFFRAM.v
v
304,029
81
[]
['apache license']
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/321916777/verilog/gl/DFFRAM.v:12080: Can't resolve module reference: 'sky130_fd_sc_hd__clkbuf_16'\n sky130_fd_sc_hd__clkbuf_16 \\COLUMN[0].RAMCOLS/ABUF[0] (\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: Exiting due to 1 error(s)\n"
146,693
module
module DFFRAM(CLK, EN, VPWR, VGND, A, Di, Do, WE); input [7:0] A; input CLK; wire \COLUMN[0].RAMCOLS/A_buf[3] ; wire \COLUMN[0].RAMCOLS/A_buf[4] ; wire \COLUMN[0].RAMCOLS/A_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_0/lo ; wire \COLUMN[0].RAMCOLS/B_0_1/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_1/lo ; wire \COLUMN[0].RAMCOLS/B_0_2/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_2/lo ; wire \COLUMN[0].RAMCOLS/B_0_3/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_3/lo ; wire \COLUMN[0].RAMCOLS/CLK_buf ; wire \COLUMN[0].RAMCOLS/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[9] ; wire \COLUMN[0].RAMCOLS/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/row_sel[0] ; wire \COLUMN[0].RAMCOLS/row_sel[1] ; wire \COLUMN[0].RAMCOLS/row_sel[2] ; wire \COLUMN[0].RAMCOLS/row_sel[3] ; input [31:0] Di; output [31:0] Do; wire \Do_pre[0] ; wire \Do_pre[10] ; wire \Do_pre[11] ; wire \Do_pre[12] ; wire \Do_pre[13] ; wire \Do_pre[14] ; wire \Do_pre[15] ; wire \Do_pre[16] ; wire \Do_pre[17] ; wire \Do_pre[18] ; wire \Do_pre[19] ; wire \Do_pre[1] ; wire \Do_pre[20] ; wire \Do_pre[21] ; wire \Do_pre[22] ; wire \Do_pre[23] ; wire \Do_pre[24] ; wire \Do_pre[25] ; wire \Do_pre[26] ; wire \Do_pre[27] ; wire \Do_pre[28] ; wire \Do_pre[29] ; wire \Do_pre[2] ; wire \Do_pre[30] ; wire \Do_pre[31] ; wire \Do_pre[3] ; wire \Do_pre[4] ; wire \Do_pre[5] ; wire \Do_pre[6] ; wire \Do_pre[7] ; wire \Do_pre[8] ; wire \Do_pre[9] ; input EN; wire EN_lines; input VGND; input VPWR; input [3:0] WE; sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[0] ( .A(A[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[1] ( .A(A[4]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[2] ( .A(A[5]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_0/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_0/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_0/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_1/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_1/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_1/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_2/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_2/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_2/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_3/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_3/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_3/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/CLKBUF ( .A(CLK), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/CLK_buf ) ); sky130_fd_sc_hd__nor3b_4 \COLUMN[0].RAMCOLS/DEC/AND0 ( .A(A[6]), .B(A[7]), .C_N(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/row_sel[0] ) ); sky130_fd_sc_hd__and3b_4 \COLUMN[0].RAMCOLS/DEC/AND1 ( .A_N(A[7]), .B(A[6]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[1] ) ); sky130_fd_sc_hd__and3b_4 \COLUMN[0].RAMCOLS/DEC/AND2 ( .A_N(A[6]), .B(A[7]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[2] ) ); sky130_fd_sc_hd__and3_4 \COLUMN[0].RAMCOLS/DEC/AND3 ( .A(A[7]), .B(A[6]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[3] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[0] ( .A(Di[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[10] ( .A(Di[10]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[11] ( .A(Di[11]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[12] ( .A(Di[12]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[13] ( .A(Di[13]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[14] ( .A(Di[14]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[15] ( .A(Di[15]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[16] ( .A(Di[16]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[17] ( .A(Di[17]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[18] ( .A(Di[18]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[19] ( .A(Di[19]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[1] ( .A(Di[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[20] ( .A(Di[20]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[21] ( .A(Di[21]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[22] ( .A(Di[22]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[23] ( .A(Di[23]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[24] ( .A(Di[24]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[25] ( .A(Di[25]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[26] ( .A(Di[26]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[27] ( .A(Di[27]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[28] ( .A(Di[28]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[29] ( .A(Di[29]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[2] ( .A(Di[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[30] ( .A(Di[30]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[31] ( .A(Di[31]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[3] ( .A(Di[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[4] ( .A(Di[4]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[5] ( .A(Di[5]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[6] ( .A(Di[6]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[7] ( .A(Di[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[8] ( .A(Di[8]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[9] ( .A(Di[9]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[9] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[0] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[0] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[0] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[0] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[0] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[0] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[10] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[10] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[10] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[10] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[10] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[10] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[11] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[11] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[11] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[11] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[11] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[11] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[12] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[12] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[12] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[12] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[12] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[12] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[13] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[13] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[13] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[13] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[13] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[13] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[14] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[14] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[14] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[14] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[14] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[14] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[15] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[15] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[15] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[15] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[15] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[15] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[16] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[16] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[16] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[16] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[16] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[16] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[17] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[17] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[17] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[17] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[17] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[17] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[18] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[18] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[18] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[18] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[18] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[18] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[19] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[19] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[19] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[19] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[19] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[19] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[1] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[1] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[1] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[1] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[1] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[1] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[20] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[20] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[20] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[20] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[20] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[20] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[21] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[21] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[21] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[21] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[21] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[21] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[22] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[22] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[22] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[22] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[22] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[22] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[23] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[23] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[23] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[23] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[23] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[23] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[24] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[24] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[24] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[24] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[24] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[24] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[25] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[25] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[25] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[25] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[25] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[25] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[26] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[26] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[26] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[26] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[26] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[26] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[27] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[27] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[27] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[27] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[27] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[27] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[28] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[28] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[28] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[28] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[28] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[28] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[29] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[29] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[29] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[29] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[29] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[29] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[2] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[2] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[2] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[2] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[2] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[2] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[30] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[30] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[30] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[30] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[30] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[30] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[31] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[31] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[31] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[31] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[31] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[31] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[3] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[3] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[3] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[3] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[3] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[3] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[4] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[4] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[4] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[4] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[4] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[4] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[5] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[5] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[5] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[5] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[5] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[5] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[6] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[6] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[6] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[6] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[6] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[6] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[7] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[7] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[7] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[7] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[7] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[7] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[8] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[8] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[8] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[8] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[8] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[8] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[9] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[9] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[9] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[9] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[9] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[9] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[0] ( .A(WE[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[1] ( .A(WE[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[2] ( .A(WE[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[3] ( .A(WE[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[3] ) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[0] ( .A(\Do_pre[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[0]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[10] ( .A(\Do_pre[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[10]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[11] ( .A(\Do_pre[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[11]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[12] ( .A(\Do_pre[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[12]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[13] ( .A(\Do_pre[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[13]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[14] ( .A(\Do_pre[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[14]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[15] ( .A(\Do_pre[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[15]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[16] ( .A(\Do_pre[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[16]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[17] ( .A(\Do_pre[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[17]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[18] ( .A(\Do_pre[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[18]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[19] ( .A(\Do_pre[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[19]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[1] ( .A(\Do_pre[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[1]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[20] ( .A(\Do_pre[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[20]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[21] ( .A(\Do_pre[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[21]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[22] ( .A(\Do_pre[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[22]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[23] ( .A(\Do_pre[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[23]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[24] ( .A(\Do_pre[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[24]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[25] ( .A(\Do_pre[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[25]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[26] ( .A(\Do_pre[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[26]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[27] ( .A(\Do_pre[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[27]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[28] ( .A(\Do_pre[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[28]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[29] ( .A(\Do_pre[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[29]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[2] ( .A(\Do_pre[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[2]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[30] ( .A(\Do_pre[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[30]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[31] ( .A(\Do_pre[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[31]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[3] ( .A(\Do_pre[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[3]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[4] ( .A(\Do_pre[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[4]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[5] ( .A(\Do_pre[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[5]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[6] ( .A(\Do_pre[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[6]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[7] ( .A(\Do_pre[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[7]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[8] ( .A(\Do_pre[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[8]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[9] ( .A(\Do_pre[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[9]) ); sky130_fd_sc_hd__clkbuf_4 ENBUF ( .A(EN), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(EN_lines) ); sky130_fd_sc_hd__decap_12 FILLER_0_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1098 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_100_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_100_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_100_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_101_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_101_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_717 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_809 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_854 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_103_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_103_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_644 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_106_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_106_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_108_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_108_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_796 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_109_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_662 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_110_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_110_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_111_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_111_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_111_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_111_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_112_924 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_113_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_1592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_115_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_115_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_115_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_1297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_118_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_720 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_662 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_732 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_862 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1028 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_125_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_125_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_126_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_827 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_128_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_128_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_129_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_129_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_130_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_796 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_131_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_132_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_133_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_133_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_133_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_134_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1014 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_135_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_135_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1021 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_930 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_13_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_142_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_142_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_142_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_142_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_143_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_143_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_691 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_144_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_144_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_145_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_145_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_643 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_146_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_147_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_147_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_866 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1009 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_149_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_149_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_630 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_992 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_14_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_150_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_150_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_151_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_152_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_153_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_153_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_154_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_154_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_156_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_924 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_157_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_157_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1031 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_158_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1031 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_18 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_15_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_686 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_824 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_160_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_160_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_160_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_161_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_704 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_809 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_162_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_163_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_163_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_163_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_164_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_164_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_166_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_610 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_166_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_167_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_628 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_168_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_169_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_169_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_16_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_170_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1051 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_718 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_16 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_691 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_777 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_1168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_777 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_17_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_17_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1098 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_184_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_960 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1021 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_20_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_22_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_22_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1082 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_976 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_25_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_25_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_27_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_28_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_619 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_28_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_29_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_29_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_717 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_29_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_732 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_976 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_31_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_715 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_32_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_610 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_686 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_784 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_33_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_34_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_613 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_34_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_735 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_897 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_1299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_673 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_37_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_37_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_38_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_38_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_39_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_930 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_3_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_3_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_20 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_41_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_42_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_42_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_43_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_43_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_45_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_46_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_741 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_46_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_47_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_47_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_47_754 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_47_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_48_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_923 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_51_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_51_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_619 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_1174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_795 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_715 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_56_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_56_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_57_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_57_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_57_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_824 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_59_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_61_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_62_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_63_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1045 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_64_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_992 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_65_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_66_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_67_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_888 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_905 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_69_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_69_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_795 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_70_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_71_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_72_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_72_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_72_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_923 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_73_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_73_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_74_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_74_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_748 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1009 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_905 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_76_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_76_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_693 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_80_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_80_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_81_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_81_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_83_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_682 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_86_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_682 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_87_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1051 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_888 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_862 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_90_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_854 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_897 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_91_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_91_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_752 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_91_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_92_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_77 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_718 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_94_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_94_750 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_94_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_97_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_630 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_99_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_99_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_704 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_748 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_99_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_9_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_752 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_9_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_0 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_1 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_10 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_13 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_16 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_18 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_2 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_20 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_4 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_5 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_6 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_77 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_8 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_999 ( .VGND(VGND), .VPWR(VPWR) ); endmodule
module DFFRAM(CLK, EN, VPWR, VGND, A, Di, Do, WE);
input [7:0] A; input CLK; wire \COLUMN[0].RAMCOLS/A_buf[3] ; wire \COLUMN[0].RAMCOLS/A_buf[4] ; wire \COLUMN[0].RAMCOLS/A_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_0/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_0/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_0/lo ; wire \COLUMN[0].RAMCOLS/B_0_1/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_1/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_1/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_1/lo ; wire \COLUMN[0].RAMCOLS/B_0_2/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_2/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_2/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_2/lo ; wire \COLUMN[0].RAMCOLS/B_0_3/CLK_buf ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[10] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[11] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[12] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[13] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[14] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[15] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[16] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[17] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[18] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[19] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[20] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[21] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[22] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[23] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[24] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[25] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[26] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[27] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[28] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[29] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[30] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[31] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[32] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[33] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[34] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[35] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[36] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[37] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[38] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[39] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[40] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[41] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[42] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[43] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[44] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[45] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[46] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[47] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[48] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[49] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[50] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[51] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[52] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[53] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[54] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[55] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[56] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[57] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[58] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[59] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[60] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[61] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[62] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[63] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[8] ; wire \COLUMN[0].RAMCOLS/B_0_3/SEL[9] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ; wire \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ; wire \COLUMN[0].RAMCOLS/B_0_3/float_buf_en ; wire \COLUMN[0].RAMCOLS/B_0_3/lo ; wire \COLUMN[0].RAMCOLS/CLK_buf ; wire \COLUMN[0].RAMCOLS/Di_buf[0] ; wire \COLUMN[0].RAMCOLS/Di_buf[10] ; wire \COLUMN[0].RAMCOLS/Di_buf[11] ; wire \COLUMN[0].RAMCOLS/Di_buf[12] ; wire \COLUMN[0].RAMCOLS/Di_buf[13] ; wire \COLUMN[0].RAMCOLS/Di_buf[14] ; wire \COLUMN[0].RAMCOLS/Di_buf[15] ; wire \COLUMN[0].RAMCOLS/Di_buf[16] ; wire \COLUMN[0].RAMCOLS/Di_buf[17] ; wire \COLUMN[0].RAMCOLS/Di_buf[18] ; wire \COLUMN[0].RAMCOLS/Di_buf[19] ; wire \COLUMN[0].RAMCOLS/Di_buf[1] ; wire \COLUMN[0].RAMCOLS/Di_buf[20] ; wire \COLUMN[0].RAMCOLS/Di_buf[21] ; wire \COLUMN[0].RAMCOLS/Di_buf[22] ; wire \COLUMN[0].RAMCOLS/Di_buf[23] ; wire \COLUMN[0].RAMCOLS/Di_buf[24] ; wire \COLUMN[0].RAMCOLS/Di_buf[25] ; wire \COLUMN[0].RAMCOLS/Di_buf[26] ; wire \COLUMN[0].RAMCOLS/Di_buf[27] ; wire \COLUMN[0].RAMCOLS/Di_buf[28] ; wire \COLUMN[0].RAMCOLS/Di_buf[29] ; wire \COLUMN[0].RAMCOLS/Di_buf[2] ; wire \COLUMN[0].RAMCOLS/Di_buf[30] ; wire \COLUMN[0].RAMCOLS/Di_buf[31] ; wire \COLUMN[0].RAMCOLS/Di_buf[3] ; wire \COLUMN[0].RAMCOLS/Di_buf[4] ; wire \COLUMN[0].RAMCOLS/Di_buf[5] ; wire \COLUMN[0].RAMCOLS/Di_buf[6] ; wire \COLUMN[0].RAMCOLS/Di_buf[7] ; wire \COLUMN[0].RAMCOLS/Di_buf[8] ; wire \COLUMN[0].RAMCOLS/Di_buf[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_0[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_1[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_2[9] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[0] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[10] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[11] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[12] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[13] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[14] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[15] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[16] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[17] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[18] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[19] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[1] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[20] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[21] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[22] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[23] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[24] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[25] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[26] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[27] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[28] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[29] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[2] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[30] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[31] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[3] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[4] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[5] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[6] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[7] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[8] ; wire \COLUMN[0].RAMCOLS/Do_B_0_3[9] ; wire \COLUMN[0].RAMCOLS/WE_buf[0] ; wire \COLUMN[0].RAMCOLS/WE_buf[1] ; wire \COLUMN[0].RAMCOLS/WE_buf[2] ; wire \COLUMN[0].RAMCOLS/WE_buf[3] ; wire \COLUMN[0].RAMCOLS/row_sel[0] ; wire \COLUMN[0].RAMCOLS/row_sel[1] ; wire \COLUMN[0].RAMCOLS/row_sel[2] ; wire \COLUMN[0].RAMCOLS/row_sel[3] ; input [31:0] Di; output [31:0] Do; wire \Do_pre[0] ; wire \Do_pre[10] ; wire \Do_pre[11] ; wire \Do_pre[12] ; wire \Do_pre[13] ; wire \Do_pre[14] ; wire \Do_pre[15] ; wire \Do_pre[16] ; wire \Do_pre[17] ; wire \Do_pre[18] ; wire \Do_pre[19] ; wire \Do_pre[1] ; wire \Do_pre[20] ; wire \Do_pre[21] ; wire \Do_pre[22] ; wire \Do_pre[23] ; wire \Do_pre[24] ; wire \Do_pre[25] ; wire \Do_pre[26] ; wire \Do_pre[27] ; wire \Do_pre[28] ; wire \Do_pre[29] ; wire \Do_pre[2] ; wire \Do_pre[30] ; wire \Do_pre[31] ; wire \Do_pre[3] ; wire \Do_pre[4] ; wire \Do_pre[5] ; wire \Do_pre[6] ; wire \Do_pre[7] ; wire \Do_pre[8] ; wire \Do_pre[9] ; input EN; wire EN_lines; input VGND; input VPWR; input [3:0] WE; sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[0] ( .A(A[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[1] ( .A(A[4]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/ABUF[2] ( .A(A[5]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/A_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_0/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_0/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_0/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_0/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_0/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_0/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_0[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_0/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_0/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_0/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_0/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_0/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_0/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_0/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_0/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_0/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_1/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_1/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_1/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_1/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_1/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_1/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_1[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_1/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_1/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_1/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_1/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_1/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_1/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_1/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_1/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_1/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_2/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_2/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_2/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_2/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_2/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_2/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_2[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_2/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_2/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_2/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_2/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_2/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_2/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_2/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_2/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_2/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/CLKBUF ( .A(\COLUMN[0].RAMCOLS/CLK_buf ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[0] ( .A(A[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[1] ( .A(A[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DEC/ABUF[2] ( .A(A[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND0 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D_N(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND1 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND2 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[4] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND3 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[5] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[3] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND4 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND5 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[4] ), .B(\COLUMN[0].RAMCOLS/A_buf[3] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND6 ( .A_N(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L0/AND7 ( .A(\COLUMN[0].RAMCOLS/A_buf[3] ), .B(\COLUMN[0].RAMCOLS/A_buf[4] ), .C(\COLUMN[0].RAMCOLS/A_buf[5] ), .D(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[0].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[1].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[2].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[3].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[4].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[5].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[6].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ) ); sky130_fd_sc_hd__nor4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND0 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND1 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND2 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND3 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ) ); sky130_fd_sc_hd__and4bb_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND4 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND5 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ) ); sky130_fd_sc_hd__and4b_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND6 ( .A_N(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ) ); sky130_fd_sc_hd__and4_2 \COLUMN[0].RAMCOLS/B_0_3/DEC/DEC_L1[7].U/AND7 ( .A(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[1] ), .C(\COLUMN[0].RAMCOLS/B_0_3/DEC/A_buf[2] ), .D(\COLUMN[0].RAMCOLS/B_0_3/DEC/SEL0_w[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[0] ( .A(\COLUMN[0].RAMCOLS/Di_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[10] ( .A(\COLUMN[0].RAMCOLS/Di_buf[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[11] ( .A(\COLUMN[0].RAMCOLS/Di_buf[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[12] ( .A(\COLUMN[0].RAMCOLS/Di_buf[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[13] ( .A(\COLUMN[0].RAMCOLS/Di_buf[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[14] ( .A(\COLUMN[0].RAMCOLS/Di_buf[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[15] ( .A(\COLUMN[0].RAMCOLS/Di_buf[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[16] ( .A(\COLUMN[0].RAMCOLS/Di_buf[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[17] ( .A(\COLUMN[0].RAMCOLS/Di_buf[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[18] ( .A(\COLUMN[0].RAMCOLS/Di_buf[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[19] ( .A(\COLUMN[0].RAMCOLS/Di_buf[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[1] ( .A(\COLUMN[0].RAMCOLS/Di_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[20] ( .A(\COLUMN[0].RAMCOLS/Di_buf[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[21] ( .A(\COLUMN[0].RAMCOLS/Di_buf[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[22] ( .A(\COLUMN[0].RAMCOLS/Di_buf[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[23] ( .A(\COLUMN[0].RAMCOLS/Di_buf[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[24] ( .A(\COLUMN[0].RAMCOLS/Di_buf[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[25] ( .A(\COLUMN[0].RAMCOLS/Di_buf[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[26] ( .A(\COLUMN[0].RAMCOLS/Di_buf[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[27] ( .A(\COLUMN[0].RAMCOLS/Di_buf[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[28] ( .A(\COLUMN[0].RAMCOLS/Di_buf[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[29] ( .A(\COLUMN[0].RAMCOLS/Di_buf[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[2] ( .A(\COLUMN[0].RAMCOLS/Di_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[30] ( .A(\COLUMN[0].RAMCOLS/Di_buf[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[31] ( .A(\COLUMN[0].RAMCOLS/Di_buf[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[3] ( .A(\COLUMN[0].RAMCOLS/Di_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[4] ( .A(\COLUMN[0].RAMCOLS/Di_buf[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[5] ( .A(\COLUMN[0].RAMCOLS/Di_buf[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[6] ( .A(\COLUMN[0].RAMCOLS/Di_buf[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[7] ( .A(\COLUMN[0].RAMCOLS/Di_buf[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[8] ( .A(\COLUMN[0].RAMCOLS/Di_buf[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/DIBUF[9] ( .A(\COLUMN[0].RAMCOLS/Di_buf[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ) ); sky130_fd_sc_hd__clkbuf_4 \COLUMN[0].RAMCOLS/B_0_3/FBUFENBUF ( .A(\COLUMN[0].RAMCOLS/row_sel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[0] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[10] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[11] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[12] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[13] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[14] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[15] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[16] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[17] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[18] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[19] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[1] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[20] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[21] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[22] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[23] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[24] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[25] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[26] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[27] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[28] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[29] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[2] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[30] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[31] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[3] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[4] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[5] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[6] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[7] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[8] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__ebufn_4 \COLUMN[0].RAMCOLS/B_0_3/FLOATBUF[9] ( .A(\COLUMN[0].RAMCOLS/B_0_3/lo ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/float_buf_en ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[10].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[11].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[12].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[13].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[14].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[15].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[16].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[17].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[18].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[19].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[20].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[21].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[22].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[23].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[24].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[25].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[26].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[27].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[28].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[29].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[30].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[31].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[8].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/OUT[9].FF ( .CLK(\COLUMN[0].RAMCOLS/CLK_buf ), .D(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ), .Q(\COLUMN[0].RAMCOLS/Do_B_0_3[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__conb_1 \COLUMN[0].RAMCOLS/B_0_3/TIE ( .LO(\COLUMN[0].RAMCOLS/B_0_3/lo ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[0] ( .A(\COLUMN[0].RAMCOLS/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[1] ( .A(\COLUMN[0].RAMCOLS/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[2] ( .A(\COLUMN[0].RAMCOLS/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_16 \COLUMN[0].RAMCOLS/B_0_3/WEBUF[3] ( .A(\COLUMN[0].RAMCOLS/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[0].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[10].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[11].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[12].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[13].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[14].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[15].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[16].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[17].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[18].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[19].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[1].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[20].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[21].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[22].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[23].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[24].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[25].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[26].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[27].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[28].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[29].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[2].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[30].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[31].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[32] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[32].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[33] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[33].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[34] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[34].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[35] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[35].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[36] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[36].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[37] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[37].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[38] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[38].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[39] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[39].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[3].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[40] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[40].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[41] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[41].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[42] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[42].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[43] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[43].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[44] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[44].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[45] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[45].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[46] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[46].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[47] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[47].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[48] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[48].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[49] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[49].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[4].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[50] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[50].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[51] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[51].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[52] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[52].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[53] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[53].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[54] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[54].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[55] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[55].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[56] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[56].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[57] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[57].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[58] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[58].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[59] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[59].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[5].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[60] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[60].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[61] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[61].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[62] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[62].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[63] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[63].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[6].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[7].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[8].W/B3/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[0] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[0] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[1] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[1] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[2] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[2] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[3] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[3] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[4] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[4] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[5] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[5] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[6] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[6] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[7] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[7] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B0/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[8] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[8] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[9] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[9] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[10] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[10] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[11] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[11] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[12] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[12] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[13] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[13] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[14] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[14] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[15] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[15] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B1/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[16] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[16] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[17] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[17] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[18] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[18] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[19] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[19] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[20] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[20] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[21] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[21] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[22] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[22] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[23] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[23] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B2/SEL_B ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[0].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[24] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[0].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[0] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[24] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[1].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[25] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[1].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[1] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[25] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[2].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[26] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[2].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[2] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[26] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[3].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[27] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[3].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[3] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[27] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[4].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[28] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[4].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[4] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[28] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[5].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[29] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[5].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[5] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[29] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[6].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[30] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[6].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[6] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[30] ) ); sky130_fd_sc_hd__dfxtp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[7].FF ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .D(\COLUMN[0].RAMCOLS/B_0_3/Di_buf[31] ), .Q(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__ebufn_2 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/BIT[7].OBUF ( .A(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/q_wire[7] ), .TE_B(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Z(\COLUMN[0].RAMCOLS/B_0_3/Do_pre[31] ) ); sky130_fd_sc_hd__dlclkp_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/CG ( .CLK(\COLUMN[0].RAMCOLS/B_0_3/CLK_buf ), .GATE(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ), .GCLK(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/GCLK ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__and2_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/CGAND ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .B(\COLUMN[0].RAMCOLS/B_0_3/WE_buf[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/we_wire ) ); sky130_fd_sc_hd__inv_1 \COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/INV ( .A(\COLUMN[0].RAMCOLS/B_0_3/SEL[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/B_0_3/WORD[9].W/B3/SEL_B ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/CLKBUF ( .A(CLK), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/CLK_buf ) ); sky130_fd_sc_hd__nor3b_4 \COLUMN[0].RAMCOLS/DEC/AND0 ( .A(A[6]), .B(A[7]), .C_N(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\COLUMN[0].RAMCOLS/row_sel[0] ) ); sky130_fd_sc_hd__and3b_4 \COLUMN[0].RAMCOLS/DEC/AND1 ( .A_N(A[7]), .B(A[6]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[1] ) ); sky130_fd_sc_hd__and3b_4 \COLUMN[0].RAMCOLS/DEC/AND2 ( .A_N(A[6]), .B(A[7]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[2] ) ); sky130_fd_sc_hd__and3_4 \COLUMN[0].RAMCOLS/DEC/AND3 ( .A(A[7]), .B(A[6]), .C(EN_lines), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/row_sel[3] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[0] ( .A(Di[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[0] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[10] ( .A(Di[10]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[10] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[11] ( .A(Di[11]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[11] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[12] ( .A(Di[12]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[12] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[13] ( .A(Di[13]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[13] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[14] ( .A(Di[14]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[14] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[15] ( .A(Di[15]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[15] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[16] ( .A(Di[16]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[16] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[17] ( .A(Di[17]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[17] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[18] ( .A(Di[18]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[18] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[19] ( .A(Di[19]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[19] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[1] ( .A(Di[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[1] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[20] ( .A(Di[20]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[20] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[21] ( .A(Di[21]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[21] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[22] ( .A(Di[22]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[22] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[23] ( .A(Di[23]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[23] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[24] ( .A(Di[24]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[24] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[25] ( .A(Di[25]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[25] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[26] ( .A(Di[26]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[26] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[27] ( .A(Di[27]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[27] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[28] ( .A(Di[28]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[28] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[29] ( .A(Di[29]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[29] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[2] ( .A(Di[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[2] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[30] ( .A(Di[30]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[30] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[31] ( .A(Di[31]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[31] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[3] ( .A(Di[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[3] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[4] ( .A(Di[4]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[4] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[5] ( .A(Di[5]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[5] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[6] ( .A(Di[6]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[6] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[7] ( .A(Di[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[7] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[8] ( .A(Di[8]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[8] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/DIBUF[9] ( .A(Di[9]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/Di_buf[9] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[0] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[0] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[0] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[0] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[0] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[0] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[10] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[10] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[10] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[10] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[10] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[10] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[11] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[11] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[11] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[11] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[11] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[11] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[12] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[12] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[12] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[12] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[12] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[12] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[13] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[13] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[13] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[13] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[13] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[13] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[14] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[14] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[14] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[14] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[14] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[14] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[15] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[15] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[15] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[15] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[15] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[15] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[16] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[16] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[16] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[16] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[16] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[16] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[17] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[17] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[17] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[17] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[17] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[17] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[18] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[18] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[18] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[18] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[18] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[18] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[19] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[19] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[19] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[19] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[19] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[19] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[1] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[1] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[1] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[1] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[1] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[1] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[20] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[20] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[20] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[20] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[20] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[20] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[21] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[21] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[21] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[21] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[21] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[21] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[22] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[22] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[22] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[22] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[22] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[22] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[23] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[23] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[23] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[23] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[23] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[23] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[24] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[24] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[24] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[24] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[24] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[24] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[25] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[25] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[25] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[25] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[25] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[25] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[26] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[26] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[26] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[26] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[26] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[26] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[27] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[27] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[27] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[27] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[27] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[27] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[28] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[28] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[28] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[28] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[28] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[28] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[29] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[29] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[29] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[29] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[29] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[29] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[2] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[2] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[2] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[2] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[2] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[2] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[30] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[30] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[30] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[30] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[30] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[30] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[31] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[31] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[31] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[31] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[31] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[31] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[3] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[3] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[3] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[3] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[3] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[3] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[4] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[4] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[4] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[4] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[4] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[4] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[5] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[5] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[5] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[5] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[5] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[5] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[6] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[6] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[6] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[6] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[6] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[6] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[7] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[7] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[7] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[7] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[7] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[7] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[8] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[8] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[8] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[8] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[8] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[8] ) ); sky130_fd_sc_hd__mux4_1 \COLUMN[0].RAMCOLS/MUX/MUX[9] ( .A0(\COLUMN[0].RAMCOLS/Do_B_0_0[9] ), .A1(\COLUMN[0].RAMCOLS/Do_B_0_1[9] ), .A2(\COLUMN[0].RAMCOLS/Do_B_0_2[9] ), .A3(\COLUMN[0].RAMCOLS/Do_B_0_3[9] ), .S0(A[6]), .S1(A[7]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\Do_pre[9] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[0] ( .A(WE[0]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[0] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[1] ( .A(WE[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[1] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[2] ( .A(WE[2]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[2] ) ); sky130_fd_sc_hd__clkbuf_8 \COLUMN[0].RAMCOLS/WEBUF[3] ( .A(WE[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\COLUMN[0].RAMCOLS/WE_buf[3] ) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[0] ( .A(\Do_pre[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[0]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[10] ( .A(\Do_pre[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[10]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[11] ( .A(\Do_pre[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[11]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[12] ( .A(\Do_pre[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[12]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[13] ( .A(\Do_pre[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[13]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[14] ( .A(\Do_pre[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[14]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[15] ( .A(\Do_pre[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[15]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[16] ( .A(\Do_pre[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[16]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[17] ( .A(\Do_pre[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[17]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[18] ( .A(\Do_pre[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[18]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[19] ( .A(\Do_pre[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[19]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[1] ( .A(\Do_pre[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[1]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[20] ( .A(\Do_pre[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[20]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[21] ( .A(\Do_pre[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[21]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[22] ( .A(\Do_pre[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[22]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[23] ( .A(\Do_pre[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[23]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[24] ( .A(\Do_pre[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[24]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[25] ( .A(\Do_pre[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[25]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[26] ( .A(\Do_pre[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[26]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[27] ( .A(\Do_pre[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[27]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[28] ( .A(\Do_pre[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[28]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[29] ( .A(\Do_pre[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[29]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[2] ( .A(\Do_pre[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[2]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[30] ( .A(\Do_pre[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[30]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[31] ( .A(\Do_pre[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[31]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[3] ( .A(\Do_pre[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[3]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[4] ( .A(\Do_pre[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[4]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[5] ( .A(\Do_pre[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[5]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[6] ( .A(\Do_pre[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[6]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[7] ( .A(\Do_pre[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[7]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[8] ( .A(\Do_pre[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[8]) ); sky130_fd_sc_hd__clkbuf_4 \DOBUF[9] ( .A(\Do_pre[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(Do[9]) ); sky130_fd_sc_hd__clkbuf_4 ENBUF ( .A(EN), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(EN_lines) ); sky130_fd_sc_hd__decap_12 FILLER_0_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1098 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_0_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_0_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_0_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_0_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_0_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_0_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_0_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_100_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_100_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_100_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_100_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_100_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_100_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_100_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_100_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_101_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_101_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_101_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_717 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_101_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_809 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_101_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_101_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_101_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_1533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_102_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_102_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_854 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_102_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_102_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_102_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_102_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_102_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_103_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_103_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_103_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_103_644 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_103_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_103_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_103_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_103_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_104_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_104_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_104_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_104_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_104_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_104_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_104_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_105_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_105_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_105_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_105_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_105_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_105_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_105_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_106_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_106_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_106_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_106_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_106_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_106_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_106_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_106_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_107_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_107_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_107_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_107_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_107_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_107_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_107_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_108_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_108_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_108_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_108_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_796 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_108_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_108_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_108_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_108_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_109_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_109_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_109_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_109_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_109_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_109_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_109_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_1393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_10_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_662 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_10_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_10_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_10_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_10_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_10_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_10_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_110_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_110_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_110_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_110_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_110_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_110_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_110_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_110_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_111_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_111_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_111_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_111_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_111_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_111_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_111_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_111_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_111_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_112_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_112_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_112_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_112_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_112_924 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_112_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_112_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_113_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_113_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_113_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_113_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_113_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_113_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_113_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_1592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_114_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_114_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_114_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_114_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_114_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_114_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_114_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_115_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_1504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_115_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_115_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_115_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_115_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_115_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_115_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_115_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_1297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_116_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_116_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_116_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_116_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_116_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_116_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_117_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_117_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_117_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_117_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_117_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_117_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_118_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_118_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_118_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_118_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_118_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_118_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_118_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_119_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_119_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_119_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_119_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_119_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_119_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_11_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_720 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_11_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_11_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_11_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_11_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_11_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_11_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_120_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_662 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_732 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_120_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_120_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_120_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_120_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_120_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_121_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_121_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_121_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_121_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_121_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_121_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_122_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_122_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_862 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_122_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_122_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_122_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_122_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_123_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_123_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_123_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_123_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_123_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_123_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1028 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_124_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_124_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_124_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_124_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_124_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_124_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_125_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_125_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_125_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_125_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_125_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_125_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_125_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_125_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_126_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_126_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_126_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_126_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_126_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_126_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_126_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_127_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_127_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_127_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_127_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_827 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_127_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_127_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_127_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_1295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_128_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_128_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_128_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_128_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_128_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_128_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_128_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_128_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_129_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_129_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_129_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_129_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_129_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_129_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_129_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_129_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_12_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_12_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_12_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_12_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_12_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_12_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_12_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_130_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_796 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_130_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_130_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_130_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_130_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_130_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_130_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_131_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_131_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_131_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_131_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_131_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_131_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_131_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_132_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_1415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_132_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_132_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_132_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_132_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_132_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_132_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_133_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_133_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_133_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_632 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_133_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_133_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_133_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_133_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_133_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_134_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_1561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_134_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_134_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_134_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_134_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_134_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_134_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1014 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_135_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_135_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_135_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_135_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_135_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_135_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_135_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_136_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_136_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_136_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_136_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_136_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_136_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_137_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_137_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_137_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_137_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_137_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_137_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_138_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_138_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_138_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_138_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_138_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_138_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1021 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_139_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_139_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_139_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_139_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_139_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_139_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_1503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_13_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_13_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_930 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_13_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_13_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_13_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_13_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_13_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_140_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_140_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_140_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_140_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_140_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_140_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_141_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_141_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_141_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_141_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_141_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_141_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_142_1589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_142_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_142_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_142_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_142_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_142_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_142_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_142_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_142_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_143_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_143_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_143_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_691 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_143_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_775 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_143_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_143_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_143_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_143_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_144_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_144_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_144_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_144_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_144_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_144_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_144_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_144_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_145_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_145_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_643 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_145_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_815 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_145_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_145_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_145_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_145_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_145_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_146_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_146_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_146_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_146_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_146_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_146_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_146_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_147_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_147_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_147_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_147_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_147_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_866 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_147_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_147_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_147_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_148_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_148_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_148_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_148_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_148_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_148_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_148_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1009 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_149_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_149_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_149_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_149_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_630 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_149_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_149_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_149_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_149_992 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_14_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_14_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_14_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_14_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_14_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_14_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_14_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_150_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_150_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_150_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_150_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_150_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_150_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_150_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_150_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_151_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_151_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_151_954 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_151_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_151_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_151_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_152_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_152_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_152_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_152_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_152_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_152_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_152_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_153_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_153_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_153_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_153_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_153_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_153_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_153_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_153_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_154_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_154_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_154_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_154_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_154_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_154_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_154_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_154_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_155_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_155_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_155_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_155_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_155_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_155_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_1468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_156_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_156_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_156_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_156_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_924 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_156_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_156_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_156_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_157_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_157_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_157_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_157_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_157_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_157_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_157_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_157_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1031 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_158_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_158_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_158_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_158_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_158_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_158_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_158_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1031 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_18 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_159_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_159_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_159_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_159_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_159_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_159_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_15_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_15_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_686 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_15_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_15_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_824 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_15_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_15_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_15_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_160_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_160_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_160_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_503 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_160_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_160_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_160_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_160_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_160_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_161_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_161_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_161_704 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_809 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_161_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_161_893 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_161_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_161_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_162_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_162_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_162_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_162_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_162_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_162_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_162_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_163_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_163_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_163_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_163_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_163_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_163_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_163_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_163_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_164_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_164_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_164_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_164_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_164_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_164_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_164_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_164_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_165_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_165_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_165_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_165_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_165_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_165_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_166_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_1597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_166_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_610 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_166_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_166_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_166_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_166_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_166_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_166_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_167_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_628 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_167_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_167_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_167_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_167_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_167_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_167_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_168_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_168_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_168_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_168_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_168_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_168_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_168_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_169_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_169_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_169_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_169_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_169_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_169_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_169_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_169_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_16_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_16_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_16_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_16_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_16_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_16_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_16_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_170_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_170_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_170_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_170_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_170_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_170_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_170_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_171_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_171_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_171_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_171_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_171_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_171_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_171_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1051 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_172_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_172_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_172_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_172_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_172_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_172_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_172_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_173_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_718 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_173_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_173_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_173_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_173_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_173_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_173_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_16 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_174_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_174_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_691 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_777 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_174_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_174_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_174_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_174_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_174_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_175_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_175_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_175_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_175_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_175_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_175_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_175_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_176_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_176_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_176_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_176_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_176_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_176_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_176_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_1437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_177_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_177_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_177_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_177_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_177_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_177_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_177_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_1168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_1590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_178_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_178_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_679 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_178_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_178_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_178_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_178_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_178_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_179_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_179_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_179_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_179_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_777 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_179_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_179_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_179_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_17_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_17_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_706 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_17_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_17_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_17_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_17_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_17_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_17_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_180_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_180_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_180_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_180_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_180_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_180_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_180_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_1421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_181_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_181_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_181_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_939 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_181_950 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_181_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_181_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_181_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1098 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_182_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_182_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_182_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_182_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_182_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_182_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_182_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_623 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_183_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_903 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_183_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_183_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_183_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_183_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_183_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_183_994 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_184_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_707 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_738 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_184_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_184_797 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_184_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_184_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_960 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_184_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_184_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_18_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_18_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_18_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_18_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_18_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_18_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1021 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_19_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_19_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_19_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_19_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_19_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_19_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_1_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_1_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_1_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_1_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_1_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_1_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_1_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1080 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_20_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_20_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_20_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_20_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_20_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_919 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_20_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_20_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_687 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_21_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_774 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_21_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_21_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_21_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_21_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_21_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1055 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_22_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_22_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_22_576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_22_867 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_22_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_22_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_22_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_22_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_23_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_23_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_23_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_23_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_23_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_23_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1082 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_24_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_24_865 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_24_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_24_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_24_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_24_976 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1042 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_25_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_25_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_25_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_25_842 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_25_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_25_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_25_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_25_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_26_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_26_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_26_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_26_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_26_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_26_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_27_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_1565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_27_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_27_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_27_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_27_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_27_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_28_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_1406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_28_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_619 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_28_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_28_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_28_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_28_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_28_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_28_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_29_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_29_535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_717 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_29_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_29_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_29_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_29_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_29_955 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_29_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_732 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_2_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_2_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_2_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_2_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_2_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_2_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_976 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_2_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_30_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_30_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_734 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_756 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_30_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_30_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_30_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_30_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_30_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_31_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_715 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_31_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_31_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_31_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_31_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_31_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_31_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_32_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_610 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_32_686 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_784 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_32_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_32_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_32_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_32_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_32_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_33_525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_33_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_33_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_33_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_33_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_33_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_33_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_34_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_1528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_613 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_34_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_676 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_735 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_34_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_34_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_34_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_34_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_34_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_34_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_35_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_705 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_35_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_35_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_35_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_35_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_897 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_35_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_1299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_36_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_36_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_673 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_753 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_36_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_36_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_36_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_36_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_37_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_37_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_37_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_37_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_725 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_37_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_37_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_37_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_38_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_38_431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_38_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_38_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_38_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_38_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_38_985 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_39_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_39_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_39_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_39_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_930 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_39_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_39_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_39_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1050 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_3_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_3_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_449 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_3_843 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_3_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_3_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_3_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_3_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_3_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_20 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_40_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_40_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_40_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_40_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_40_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_40_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_40_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_41_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_785 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_41_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_41_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_41_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_41_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_41_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_41_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_42_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_1550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_42_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_42_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_42_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_42_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_42_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_42_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_42_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_1434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_43_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_43_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_43_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_43_830 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_43_928 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_43_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_948 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_43_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_43_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_436 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_44_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_44_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_44_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_44_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_44_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_44_979 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_45_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_45_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_45_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_808 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_45_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_45_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_45_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_45_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1039 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_46_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_714 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_741 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_46_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_46_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_890 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_46_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_46_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_46_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_46_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1027 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_47_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_47_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_47_754 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_47_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_47_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_887 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_47_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_47_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_47_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_47_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_1289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_48_541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_48_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_807 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_48_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_48_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_923 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_48_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_48_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_48_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1382 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_1558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_1579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_49_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_49_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_49_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_49_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_49_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_49_983 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_1285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_1581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_1593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_4_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_4_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_4_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_4_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_4_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_4_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_4_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_50_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_661 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_50_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_50_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_50_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_50_978 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_50_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1020 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_1076 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_51_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_51_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_51_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_51_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_51_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_51_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_51_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1090 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_52_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_52_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_52_857 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_52_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_52_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_52_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1068 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_1486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_619 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_629 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_53_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_799 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_817 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_53_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_869 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_53_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_907 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_53_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_53_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_53_990 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_1174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_1507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_54_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_380 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_54_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_54_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_795 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_801 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_54_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_54_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_54_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_54_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1032 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1071 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1442 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_495 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_615 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_715 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_772 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_814 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_55_838 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_55_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_879 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_55_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_55_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_55_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_55_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_56_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_56_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_621 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_56_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_56_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_904 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_56_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_56_980 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_56_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_57_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_57_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_57_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_57_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_811 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_824 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_57_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_57_912 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_57_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_57_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1092 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_1595 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_660 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_58_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_58_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_58_870 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_58_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_58_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_58_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1025 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_1481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1499 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_1580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_59_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_721 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_746 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_59_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_59_846 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_896 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_59_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_59_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_59_938 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_59_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1044 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_1202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1452 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_5_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_5_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_5_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_5_933 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_937 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_5_953 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_5_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_5_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_1534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_60_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_434 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_607 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_657 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_60_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_60_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_60_922 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_926 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_60_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_60_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_61_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_61_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_508 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_61_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_745 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_763 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_61_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_61_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_61_957 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_61_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_1229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_62_1448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_1536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_62_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_716 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_62_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_62_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_62_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_62_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_62_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_1385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_63_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_63_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_833 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_63_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_63_925 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_63_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_63_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_63_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1045 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_1553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_64_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_798 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_64_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_64_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_64_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_64_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_64_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_64_992 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1016 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_65_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_65_419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_458 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_747 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_65_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_65_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_65_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_920 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_65_993 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_65_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1041 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_66_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1443 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_66_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_678 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_695 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_768 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_778 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_806 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_828 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_861 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_66_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_66_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_66_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_66_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_66_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1013 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1072 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_67_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_593 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_751 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_67_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_776 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_804 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_67_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_67_888 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_905 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_67_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_67_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_67_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_1124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_1478 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_1548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_614 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_68_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_689 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_743 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_68_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_68_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_68_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_68_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_68_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_69_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_1545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_1584 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_69_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_69_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_534 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_588 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_641 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_765 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_881 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_910 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_69_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_69_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_69_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_69_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_69_996 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1089 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_1247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_1453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_1543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_1594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_412 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_448 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_6_482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_626 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_6_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_6_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_795 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_839 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_906 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_6_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_6_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_6_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_6_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1003 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_1120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_70_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_70_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_723 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_818 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_863 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_70_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_70_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_70_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_900 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_70_921 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_70_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1030 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1063 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_1190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1476 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_1504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_1549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_71_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_580 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_608 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_71_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_851 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_71_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_71_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_71_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_71_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_71_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1062 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_72_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1431 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_72_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_1501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_1529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_1577 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_72_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_407 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_411 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_72_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_923 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_72_940 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_72_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_72_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_72_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_73_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_421 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_73_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_533 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_708 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_779 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_73_894 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_73_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_73_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_73_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_73_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_73_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_74_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_74_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1475 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_1552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_1576 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_501 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_549 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_666 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_74_748 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_805 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_74_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_858 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_74_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_74_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_74_956 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_74_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1009 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1057 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1081 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1521 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_75_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_571 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_636 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_75_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_787 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_800 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_826 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_75_837 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_75_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_905 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_75_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_942 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_75_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_75_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1074 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1088 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_76_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_76_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_1472 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1490 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_552 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_590 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_618 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_767 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_802 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_76_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_76_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_76_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_76_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_76_967 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_76_984 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1023 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_1355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_77_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_631 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_803 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_77_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_77_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_77_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_944 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_77_962 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_77_966 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_77_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1084 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_1233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1441 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_78_384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_572 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_78_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_78_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_664 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_78_693 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_744 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_78_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_891 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_78_991 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_78_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_1087 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1381 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1419 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_1506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_386 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_464 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_548 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_561 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_79_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_586 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_79_665 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_761 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_79_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_79_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_79_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_79_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_79_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_1263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1563 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1585 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_504 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_562 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_7_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_728 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_749 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_771 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_7_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_7_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_7_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_936 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_7_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_7_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_986 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_7_998 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1024 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1569 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_80_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_80_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_80_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_394 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_651 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_655 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_698 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_757 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_80_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_889 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_899 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_917 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_80_927 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_80_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_931 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_80_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_80_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_81_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1410 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_1462 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_1541 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_1560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_446 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_466 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_81_510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_560 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_617 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_650 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_654 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_690 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_81_710 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_81_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_81_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_81_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_875 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_958 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_81_971 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_81_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1018 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1405 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_416 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_536 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_540 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_634 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_640 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_648 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_675 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_759 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_82_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_82_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_82_878 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_82_965 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_82_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_82_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1022 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_1523 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_408 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_467 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_83_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_681 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_685 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_83_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_880 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_83_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_83_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_83_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_83_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1043 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1049 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_1144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_1487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_556 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_653 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_84_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_84_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_789 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_793 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_84_895 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_84_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_84_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_84_997 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1015 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1058 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_1169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1461 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_1479 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_506 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_683 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_85_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_739 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_85_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_852 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_85_873 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_85_883 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_85_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_85_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_85_982 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1046 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1078 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_1294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1502 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_1567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_439 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_620 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_624 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_682 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_86_727 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_786 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_86_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_86_856 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_86_860 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_877 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_86_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_86_935 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_86_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1019 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1036 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1048 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1070 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_1474 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1482 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_1513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_1582 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_409 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_539 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_627 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_87_682 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_711 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_780 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_87_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_831 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_87_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_87_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_87_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_87_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_87_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1005 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_1017 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1051 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1094 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_1364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_1432 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_1519 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_1525 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_1544 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_375 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_440 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_484 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_616 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_633 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_88_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_659 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_680 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_712 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_88_755 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_847 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_88_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_874 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_88_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_88_968 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_88_972 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_1255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_1422 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_425 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_543 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_558 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_589 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_669 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_692 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_89_713 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_89_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_89_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_89_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_888 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_89_959 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_89_988 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_1034 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1040 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1077 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_1187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_1248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1403 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1429 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_1471 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1496 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_1598 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_393 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_447 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_507 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_545 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_670 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_730 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_821 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_845 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_862 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_882 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_8_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_8_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_8_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_8_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_8_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_8_987 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_8_999 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1037 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1065 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1430 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_1444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1473 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_1488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1531 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_90_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_392 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_528 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_567 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_597 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_622 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_639 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_642 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_646 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_663 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_699 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_90_783 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_791 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_820 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_90_834 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_854 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_90_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_897 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_90_918 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_90_964 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_90_981 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1047 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1060 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1095 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1373 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1491 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_1518 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_1547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_91_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_377 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_400 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_91_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_460 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_603 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_645 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_702 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_709 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_733 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_752 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_770 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_91_840 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_844 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_91_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_91_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_908 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_91_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_91_943 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_949 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_91_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1012 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1066 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1512 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_1551 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_594 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_671 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_92_688 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_700 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_737 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_769 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_77 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_92_788 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_92_850 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_92_876 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_92_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_92_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_92_963 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1001 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1007 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_1357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1384 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1454 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_1497 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_1530 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_1599 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_401 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_483 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_487 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_511 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_547 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_565 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_647 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_697 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_718 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_93_729 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_766 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_812 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_829 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_848 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_93_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_914 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_93_916 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_93_969 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_93_975 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_93_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1006 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1008 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1052 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1086 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1390 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_1428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1492 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_1514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_1600 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_376 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_94_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_423 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_453 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_469 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_553 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_557 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_667 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_719 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_94_736 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_740 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_94_750 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_781 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_94_841 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_94_872 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_884 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_94_886 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_94_915 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_952 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_94_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1011 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1075 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1091 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_1273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1370 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1374 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1413 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1457 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1463 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_1517 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_1538 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_1542 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_383 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_415 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_428 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_468 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_515 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_592 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_638 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_649 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_95_684 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_726 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_764 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_95_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_95_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_95_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_892 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_95_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_95_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1000 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_1026 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1061 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1067 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1085 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_1340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1372 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1379 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_1427 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1433 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1438 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_1450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_1485 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1493 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_1575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_389 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_414 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_418 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_96_450 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_481 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_494 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_513 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_570 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_606 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_658 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_677 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_696 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_703 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_722 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_760 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_773 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_822 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_825 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_835 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_96_898 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_96_929 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_945 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_96_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_96_951 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_96_970 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_96_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1035 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1038 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1056 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1096 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_1153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_97_1314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_1396 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1402 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1420 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1456 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1465 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1477 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_1522 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1564 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_1578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_387 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_406 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_426 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_444 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_486 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_514 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_546 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_559 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_625 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_635 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_652 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_724 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_782 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_97_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_810 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_832 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_836 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_97_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_97_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_97_911 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_97_934 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_97_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1004 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1053 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1064 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1069 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1388 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_1417 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_1510 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1516 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_1554 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_1573 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_371 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_395 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_98_398 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_459 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_470 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_488 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_529 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_555 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_575 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_579 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_581 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_612 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_630 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_656 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_98_674 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_758 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_762 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_816 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_864 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_868 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_98_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_98_902 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_98_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_98_947 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_1002 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1033 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1054 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1079 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1083 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1097 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_1099 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_1378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_1397 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1451 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1500 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1520 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1535 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1568 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_1587 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_1591 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_1601 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_378 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_455 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_505 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_99_532 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_99_550 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_574 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_578 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_604 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_694 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_704 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_731 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_99_742 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_748 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_790 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_794 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_813 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_855 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_859 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_99_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_885 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_99_913 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_99_932 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_99_961 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_99_973 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1010 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1029 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1073 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1093 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_1359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1399 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1404 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1435 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1498 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1524 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_1526 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_1537 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1566 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_1583 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_1596 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_1602 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_9_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_385 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_391 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_424 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_437 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_445 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_8 FILLER_9_480 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_489 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_509 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_527 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_605 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_609 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_611 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_637 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_668 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_672 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_701 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 FILLER_9_752 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_792 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_819 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_823 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_849 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_853 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_4 FILLER_9_871 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_909 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_941 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_974 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_12 FILLER_9_977 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_2 FILLER_9_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_6 FILLER_9_989 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__fill_1 FILLER_9_995 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_0 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_1 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_10 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_100 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_101 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_102 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_103 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_104 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_105 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_106 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_107 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_108 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_109 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_11 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_110 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_111 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_112 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_113 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_114 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_115 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_116 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_117 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_118 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_119 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_12 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_120 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_121 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_122 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_123 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_124 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_125 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_126 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_127 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_128 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_129 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_13 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_130 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_131 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_132 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_133 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_134 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_135 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_136 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_137 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_138 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_139 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_14 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_140 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_141 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_142 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_143 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_144 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_145 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_146 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_147 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_148 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_149 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_15 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_150 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_151 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_152 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_153 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_154 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_155 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_156 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_157 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_158 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_159 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_16 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_160 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_161 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_162 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_163 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_164 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_165 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_166 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_167 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_168 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_169 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_17 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_170 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_171 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_172 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_173 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_174 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_175 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_176 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_177 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_178 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_179 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_18 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_180 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_181 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_182 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_183 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_184 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_185 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_186 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_187 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_188 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_189 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_19 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_190 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_191 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_192 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_193 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_194 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_195 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_196 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_197 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_198 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_199 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_1999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_2 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_20 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_200 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_201 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_202 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_203 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_204 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_205 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_206 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_207 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_208 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_209 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_21 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_210 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_211 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_212 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_213 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_214 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_215 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_216 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_217 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_218 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_219 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_22 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_220 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_221 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_222 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_223 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_224 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_225 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_226 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_227 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_228 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_229 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_23 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_230 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_231 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_232 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_233 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_234 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_235 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_236 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_237 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_238 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_239 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_24 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_240 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_241 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_242 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_243 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_244 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_245 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_246 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_247 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_248 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_249 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_25 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_250 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_251 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_252 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_253 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_254 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_255 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_256 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_257 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_258 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_259 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_26 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_260 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_261 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_262 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_263 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_264 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_265 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_266 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_267 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_268 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_269 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_27 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_270 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_271 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_272 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_273 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_274 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_275 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_276 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_277 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_278 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_279 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_28 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_280 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_281 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_282 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_283 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_284 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_285 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_286 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_287 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_288 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_289 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_29 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_290 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_291 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_292 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_293 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_294 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_295 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_296 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_297 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_298 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_299 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_2999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_3 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_30 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_300 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_301 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_302 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_303 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_304 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_305 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_306 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_307 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_308 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_309 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_31 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_310 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_311 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_312 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_313 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_314 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_315 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_316 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_317 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_318 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_319 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_32 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_320 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_321 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_322 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_323 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_324 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_325 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_326 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_327 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_328 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_329 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_33 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_330 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_331 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_332 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_333 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_334 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_335 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_336 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_337 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_338 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_339 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_34 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_340 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_341 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_342 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_343 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_344 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_345 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_346 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_347 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_348 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_349 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_35 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_350 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_351 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_352 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_353 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_354 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_355 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_356 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_357 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_358 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_359 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_36 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_360 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_361 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_362 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_363 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_364 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_365 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_366 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_367 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_368 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_369 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_37 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_38 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_39 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_4 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_40 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_41 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_42 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4230 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4231 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4232 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4233 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4234 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4235 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4236 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4237 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4238 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4239 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4240 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4241 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4242 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4243 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4244 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4245 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4246 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4247 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4248 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4249 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4250 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4251 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4252 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4253 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4254 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4255 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4256 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4257 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4258 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4259 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4260 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4261 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4262 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4263 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4264 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4265 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4266 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4267 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4268 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4269 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4270 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4271 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4272 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4273 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4274 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4275 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4276 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4277 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4278 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4279 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4280 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4281 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4282 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4283 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4284 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4285 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4286 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4287 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4288 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4289 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4290 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4291 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4292 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4293 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4294 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4295 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4296 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4297 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4298 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4299 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_43 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4300 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4301 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4302 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4303 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4304 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4305 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4306 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4307 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4308 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4309 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4310 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4311 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4312 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4313 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4314 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4315 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4316 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4317 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4318 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4319 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4320 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4321 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4322 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4323 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4324 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4325 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4326 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4327 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4328 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4329 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4330 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4331 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4332 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4333 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4334 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4335 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4336 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4337 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4338 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4339 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4340 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4341 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4342 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4343 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4344 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4345 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4346 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4347 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4348 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4349 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4350 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4351 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4352 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4353 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4354 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4355 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4356 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4357 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4358 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4359 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4360 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4361 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4362 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4363 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4364 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4365 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4366 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4367 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4368 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4369 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4370 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4371 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4372 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4373 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4374 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4375 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4376 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4377 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4378 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4379 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4380 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4381 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4382 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4383 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4384 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4385 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4386 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4387 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4388 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4389 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4390 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4391 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4392 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4393 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4394 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4395 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4396 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4397 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4398 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4399 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_44 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4400 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4401 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4402 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4403 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4404 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4405 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4406 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4407 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4408 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4409 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4410 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4411 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4412 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4413 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4414 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4415 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4416 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4417 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4418 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4419 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4420 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4421 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4422 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4423 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4424 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4425 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4426 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4427 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4428 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4429 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4430 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4431 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4432 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4433 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4434 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4435 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4436 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4437 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4438 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4439 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4440 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4441 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4442 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4443 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4444 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_445 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_446 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_447 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_448 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_449 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_45 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_450 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_451 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_452 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_453 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_454 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_455 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_456 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_457 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_458 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_459 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_46 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_460 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_461 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_462 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_463 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_464 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_465 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_466 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_467 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_468 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_469 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_47 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_470 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_471 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_472 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_473 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_474 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_475 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_476 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_477 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_478 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_479 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_48 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_480 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_481 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_482 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_483 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_484 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_485 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_486 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_487 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_488 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_489 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_49 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_490 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_491 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_492 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_493 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_494 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_495 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_496 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_497 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_498 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_499 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_4999 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_5 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_50 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_500 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5000 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5001 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5002 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5003 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5004 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5005 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5006 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5007 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5008 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5009 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_501 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5010 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5011 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5012 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5013 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5014 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5015 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5016 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5017 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5018 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5019 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_502 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5020 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5021 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5022 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5023 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5024 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5025 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5026 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5027 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5028 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5029 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_503 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5030 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5031 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5032 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5033 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5034 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5035 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5036 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5037 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5038 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5039 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_504 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5040 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5041 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5042 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5043 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5044 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5045 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5046 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5047 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5048 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5049 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_505 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5050 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5051 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5052 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5053 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5054 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5055 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5056 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5057 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5058 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5059 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_506 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5060 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5061 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5062 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5063 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5064 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5065 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5066 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5067 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5068 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5069 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_507 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5070 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5071 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5072 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5073 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5074 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5075 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5076 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5077 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5078 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5079 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_508 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5080 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5081 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5082 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5083 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5084 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5085 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5086 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5087 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5088 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5089 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_509 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5090 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5091 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5092 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5093 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5094 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5095 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5096 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5097 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5098 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5099 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_51 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_510 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5100 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5101 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5102 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5103 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5104 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5105 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5106 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5107 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5108 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5109 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_511 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5110 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5111 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5112 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5113 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5114 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5115 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5116 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5117 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5118 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5119 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_512 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5120 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5121 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5122 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5123 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5124 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5125 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5126 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5127 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5128 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5129 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_513 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5130 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5131 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5132 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5133 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5134 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5135 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5136 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5137 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5138 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5139 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_514 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5140 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5141 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5142 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5143 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5144 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5145 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5146 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5147 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5148 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5149 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_515 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5150 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5151 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5152 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5153 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5154 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5155 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5156 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5157 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5158 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5159 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_516 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5160 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5161 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5162 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5163 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5164 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5165 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5166 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5167 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5168 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5169 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_517 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5170 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5171 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5172 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5173 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5174 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5175 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5176 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5177 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5178 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5179 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_518 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5180 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5181 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5182 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5183 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5184 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5185 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5186 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5187 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5188 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5189 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_519 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5190 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5191 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5192 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5193 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5194 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5195 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5196 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5197 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5198 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5199 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_52 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_520 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5200 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5201 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5202 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5203 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5204 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5205 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5206 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5207 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5208 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5209 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_521 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5210 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5211 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5212 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5213 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5214 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5215 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5216 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5217 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5218 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5219 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_522 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5220 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5221 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5222 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5223 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5224 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5225 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5226 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5227 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5228 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_5229 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_523 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_524 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_525 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_526 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_527 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_528 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_529 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_53 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_530 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_531 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_532 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_533 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_534 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_535 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_536 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_537 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_538 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_539 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_54 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_540 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_541 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_542 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_543 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_544 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_545 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_546 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_547 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_548 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_549 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_55 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_550 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_551 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_552 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_553 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_554 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_555 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_556 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_557 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_558 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_559 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_56 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_560 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_561 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_562 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_563 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_564 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_565 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_566 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_567 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_568 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_569 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_57 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_570 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_571 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_572 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_573 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_574 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_575 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_576 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_577 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_578 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_579 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_58 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_580 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_581 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_582 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_583 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_584 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_585 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_586 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_587 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_588 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_589 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_59 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_590 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_591 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_592 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_593 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_594 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_595 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_596 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_597 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_598 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_599 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_6 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_60 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_600 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_601 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_602 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_603 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_604 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_605 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_606 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_607 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_608 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_609 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_61 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_610 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_611 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_612 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_613 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_614 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_615 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_616 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_617 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_618 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_619 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_62 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_620 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_621 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_622 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_623 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_624 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_625 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_626 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_627 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_628 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_629 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_63 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_630 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_631 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_632 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_633 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_634 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_635 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_636 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_637 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_638 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_639 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_64 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_640 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_641 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_642 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_643 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_644 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_645 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_646 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_647 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_648 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_649 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_65 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_650 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_651 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_652 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_653 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_654 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_655 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_656 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_657 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_658 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_659 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_66 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_660 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_661 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_662 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_663 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_664 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_665 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_666 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_667 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_668 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_669 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_67 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_670 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_671 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_672 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_673 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_674 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_675 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_676 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_677 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_678 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_679 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_68 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_680 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_681 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_682 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_683 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_684 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_685 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_686 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_687 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_688 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_689 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_69 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_690 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_691 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_692 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_693 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_694 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_695 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_696 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_697 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_698 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_699 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_7 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_70 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_700 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_701 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_702 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_703 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_704 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_705 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_706 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_707 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_708 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_709 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_71 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_710 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_711 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_712 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_713 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_714 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_715 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_716 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_717 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_718 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_719 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_72 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_720 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_721 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_722 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_723 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_724 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_725 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_726 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_727 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_728 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_729 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_73 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_730 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_731 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_732 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_733 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_734 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_735 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_736 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_737 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_738 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_739 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_74 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_740 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_741 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_742 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_743 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_744 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_745 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_746 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_747 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_748 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_749 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_75 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_750 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_751 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_752 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_753 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_754 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_755 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_756 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_757 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_758 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_759 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_76 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_760 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_761 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_762 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_763 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_764 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_765 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_766 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_767 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_768 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_769 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_77 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_770 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_771 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_772 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_773 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_774 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_775 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_776 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_777 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_778 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_779 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_78 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_780 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_781 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_782 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_783 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_784 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_785 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_786 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_787 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_788 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_789 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_79 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_790 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_791 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_792 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_793 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_794 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_795 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_796 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_797 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_798 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_799 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_8 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_80 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_800 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_801 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_802 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_803 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_804 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_805 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_806 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_807 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_808 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_809 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_81 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_810 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_811 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_812 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_813 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_814 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_815 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_816 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_817 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_818 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_819 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_82 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_820 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_821 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_822 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_823 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_824 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_825 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_826 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_827 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_828 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_829 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_83 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_830 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_831 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_832 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_833 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_834 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_835 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_836 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_837 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_838 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_839 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_84 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_840 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_841 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_842 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_843 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_844 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_845 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_846 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_847 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_848 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_849 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_85 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_850 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_851 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_852 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_853 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_854 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_855 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_856 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_857 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_858 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_859 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_86 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_860 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_861 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_862 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_863 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_864 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_865 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_866 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_867 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_868 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_869 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_87 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_870 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_871 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_872 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_873 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_874 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_875 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_876 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_877 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_878 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_879 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_88 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_880 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_881 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_882 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_883 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_884 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_885 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_886 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_887 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_888 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_889 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_89 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_890 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_891 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_892 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_893 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_894 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_895 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_896 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_897 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_898 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_899 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_9 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_90 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_900 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_901 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_902 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_903 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_904 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_905 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_906 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_907 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_908 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_909 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_91 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_910 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_911 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_912 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_913 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_914 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_915 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_916 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_917 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_918 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_919 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_92 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_920 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_921 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_922 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_923 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_924 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_925 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_926 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_927 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_928 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_929 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_93 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_930 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_931 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_932 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_933 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_934 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_935 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_936 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_937 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_938 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_939 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_94 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_940 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_941 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_942 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_943 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_944 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_945 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_946 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_947 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_948 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_949 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_95 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_950 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_951 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_952 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_953 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_954 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_955 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_956 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_957 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_958 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_959 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_96 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_960 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_961 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_962 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_963 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_964 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_965 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_966 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_967 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_968 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_969 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_97 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_970 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_971 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_972 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_973 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_974 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_975 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_976 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_977 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_978 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_979 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_98 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_980 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_981 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_982 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_983 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_984 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_985 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_986 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_987 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_988 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_989 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__decap_3 PHY_99 ( .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_990 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_991 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_992 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_993 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_994 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_995 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_996 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_997 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_998 ( .VGND(VGND), .VPWR(VPWR) ); sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_999 ( .VGND(VGND), .VPWR(VPWR) ); endmodule
9
23
data/full_repos/permissive/399803365/adcdac/adc_qsys/synthesis/submodules/chsel_code_converter_sw_to_hw.v
399,803,365
chsel_code_converter_sw_to_hw.v
v
210
147
[]
[]
['all rights reserved']
[(32, 209)]
null
data/verilator_xmls/fac3b568-92af-41ca-8125-f5016080f89d.xml
null
190,517
module
module chsel_code_converter_sw_to_hw ( chsel_from_sw, chsel_to_hw ); parameter device_partname_fivechar_prefix = "10M08"; parameter is_this_first_or_second_adc = 1; localparam variant_08n16_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam variant_08n16_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam variant_08n16_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00100; localparam variant_08n16_hw_chsel_code_for_sw_ch02_code_00010 = 5'b00110; localparam variant_08n16_hw_chsel_code_for_sw_ch03_code_00011 = 5'b01010; localparam variant_08n16_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01100; localparam variant_08n16_hw_chsel_code_for_sw_ch05_code_00101 = 5'b10000; localparam variant_08n16_hw_chsel_code_for_sw_ch06_code_00110 = 5'b01110; localparam variant_08n16_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01101; localparam variant_08n16_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00010; localparam variant_08n16_hw_chsel_code_for_sw_ch09_code_01001 = 5'b00101; localparam variant_08n16_hw_chsel_code_for_sw_ch10_code_01010 = 5'b01001; localparam variant_08n16_hw_chsel_code_for_sw_ch11_code_01011 = 5'b10001; localparam variant_08n16_hw_chsel_code_for_sw_ch12_code_01100 = 5'b01111; localparam variant_08n16_hw_chsel_code_for_sw_ch13_code_01101 = 5'b01000; localparam variant_08n16_hw_chsel_code_for_sw_ch14_code_01110 = 5'b00111; localparam variant_08n16_hw_chsel_code_for_sw_ch15_code_01111 = 5'b01011; localparam variant_08n16_hw_chsel_code_for_sw_ch16_code_10000 = 5'b00001; localparam dual_first_adc_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam dual_first_adc_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam dual_first_adc_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00100; localparam dual_first_adc_hw_chsel_code_for_sw_ch02_code_00010 = 5'b00110; localparam dual_first_adc_hw_chsel_code_for_sw_ch03_code_00011 = 5'b01010; localparam dual_first_adc_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01100; localparam dual_first_adc_hw_chsel_code_for_sw_ch05_code_00101 = 5'b10000; localparam dual_first_adc_hw_chsel_code_for_sw_ch06_code_00110 = 5'b01110; localparam dual_first_adc_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01101; localparam dual_first_adc_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00010; localparam dual_second_adc_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam dual_second_adc_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam dual_second_adc_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00101; localparam dual_second_adc_hw_chsel_code_for_sw_ch02_code_00010 = 5'b01001; localparam dual_second_adc_hw_chsel_code_for_sw_ch03_code_00011 = 5'b10001; localparam dual_second_adc_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01111; localparam dual_second_adc_hw_chsel_code_for_sw_ch05_code_00101 = 5'b01000; localparam dual_second_adc_hw_chsel_code_for_sw_ch06_code_00110 = 5'b00111; localparam dual_second_adc_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01011; localparam dual_second_adc_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00001; input [4:0] chsel_from_sw; output [4:0] chsel_to_hw; reg [4:0] chsel_to_hw; always @(chsel_from_sw) begin if ((device_partname_fivechar_prefix == "10M04") || (device_partname_fivechar_prefix == "10M08") || (device_partname_fivechar_prefix == "10M16")) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch08_code_01000; 5'b01001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch09_code_01001; 5'b01010: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch10_code_01010; 5'b01011: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch11_code_01011; 5'b01100: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch12_code_01100; 5'b01101: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch13_code_01101; 5'b01110: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch14_code_01110; 5'b01111: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch15_code_01111; 5'b10000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch16_code_10000; default : chsel_to_hw <= 5'b11111; endcase end else if ((is_this_first_or_second_adc == 1) && ((device_partname_fivechar_prefix == "10M25") || (device_partname_fivechar_prefix == "10M40") || (device_partname_fivechar_prefix == "10M50"))) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch08_code_01000; default : chsel_to_hw <= 5'b11111; endcase end else if ((is_this_first_or_second_adc == 2) && ((device_partname_fivechar_prefix == "10M25") || (device_partname_fivechar_prefix == "10M40") || (device_partname_fivechar_prefix == "10M50"))) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch08_code_01000; default : chsel_to_hw <= 5'b11111; endcase end end endmodule
module chsel_code_converter_sw_to_hw ( chsel_from_sw, chsel_to_hw );
parameter device_partname_fivechar_prefix = "10M08"; parameter is_this_first_or_second_adc = 1; localparam variant_08n16_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam variant_08n16_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam variant_08n16_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00100; localparam variant_08n16_hw_chsel_code_for_sw_ch02_code_00010 = 5'b00110; localparam variant_08n16_hw_chsel_code_for_sw_ch03_code_00011 = 5'b01010; localparam variant_08n16_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01100; localparam variant_08n16_hw_chsel_code_for_sw_ch05_code_00101 = 5'b10000; localparam variant_08n16_hw_chsel_code_for_sw_ch06_code_00110 = 5'b01110; localparam variant_08n16_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01101; localparam variant_08n16_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00010; localparam variant_08n16_hw_chsel_code_for_sw_ch09_code_01001 = 5'b00101; localparam variant_08n16_hw_chsel_code_for_sw_ch10_code_01010 = 5'b01001; localparam variant_08n16_hw_chsel_code_for_sw_ch11_code_01011 = 5'b10001; localparam variant_08n16_hw_chsel_code_for_sw_ch12_code_01100 = 5'b01111; localparam variant_08n16_hw_chsel_code_for_sw_ch13_code_01101 = 5'b01000; localparam variant_08n16_hw_chsel_code_for_sw_ch14_code_01110 = 5'b00111; localparam variant_08n16_hw_chsel_code_for_sw_ch15_code_01111 = 5'b01011; localparam variant_08n16_hw_chsel_code_for_sw_ch16_code_10000 = 5'b00001; localparam dual_first_adc_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam dual_first_adc_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam dual_first_adc_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00100; localparam dual_first_adc_hw_chsel_code_for_sw_ch02_code_00010 = 5'b00110; localparam dual_first_adc_hw_chsel_code_for_sw_ch03_code_00011 = 5'b01010; localparam dual_first_adc_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01100; localparam dual_first_adc_hw_chsel_code_for_sw_ch05_code_00101 = 5'b10000; localparam dual_first_adc_hw_chsel_code_for_sw_ch06_code_00110 = 5'b01110; localparam dual_first_adc_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01101; localparam dual_first_adc_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00010; localparam dual_second_adc_hw_chsel_code_for_sw_temp_code_10001 = 5'b00000; localparam dual_second_adc_hw_chsel_code_for_sw_ch00_code_00000 = 5'b00011; localparam dual_second_adc_hw_chsel_code_for_sw_ch01_code_00001 = 5'b00101; localparam dual_second_adc_hw_chsel_code_for_sw_ch02_code_00010 = 5'b01001; localparam dual_second_adc_hw_chsel_code_for_sw_ch03_code_00011 = 5'b10001; localparam dual_second_adc_hw_chsel_code_for_sw_ch04_code_00100 = 5'b01111; localparam dual_second_adc_hw_chsel_code_for_sw_ch05_code_00101 = 5'b01000; localparam dual_second_adc_hw_chsel_code_for_sw_ch06_code_00110 = 5'b00111; localparam dual_second_adc_hw_chsel_code_for_sw_ch07_code_00111 = 5'b01011; localparam dual_second_adc_hw_chsel_code_for_sw_ch08_code_01000 = 5'b00001; input [4:0] chsel_from_sw; output [4:0] chsel_to_hw; reg [4:0] chsel_to_hw; always @(chsel_from_sw) begin if ((device_partname_fivechar_prefix == "10M04") || (device_partname_fivechar_prefix == "10M08") || (device_partname_fivechar_prefix == "10M16")) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch08_code_01000; 5'b01001: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch09_code_01001; 5'b01010: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch10_code_01010; 5'b01011: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch11_code_01011; 5'b01100: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch12_code_01100; 5'b01101: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch13_code_01101; 5'b01110: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch14_code_01110; 5'b01111: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch15_code_01111; 5'b10000: chsel_to_hw <= variant_08n16_hw_chsel_code_for_sw_ch16_code_10000; default : chsel_to_hw <= 5'b11111; endcase end else if ((is_this_first_or_second_adc == 1) && ((device_partname_fivechar_prefix == "10M25") || (device_partname_fivechar_prefix == "10M40") || (device_partname_fivechar_prefix == "10M50"))) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= dual_first_adc_hw_chsel_code_for_sw_ch08_code_01000; default : chsel_to_hw <= 5'b11111; endcase end else if ((is_this_first_or_second_adc == 2) && ((device_partname_fivechar_prefix == "10M25") || (device_partname_fivechar_prefix == "10M40") || (device_partname_fivechar_prefix == "10M50"))) begin case(chsel_from_sw) 5'b10001: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_temp_code_10001; 5'b00000: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch00_code_00000; 5'b00001: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch01_code_00001; 5'b00010: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch02_code_00010; 5'b00011: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch03_code_00011; 5'b00100: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch04_code_00100; 5'b00101: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch05_code_00101; 5'b00110: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch06_code_00110; 5'b00111: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch07_code_00111; 5'b01000: chsel_to_hw <= dual_second_adc_hw_chsel_code_for_sw_ch08_code_01000; default : chsel_to_hw <= 5'b11111; endcase end end endmodule
0
25
data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v
460,468,583
cells_sim.v
v
37
24
[]
[]
[]
null
line:32: before: "="
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v:8: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'inv\'\nmodule inv (\n ^~~\n : ... Top module \'buff\'\nmodule buff (\n ^~~~\n : ... Top module \'logic_0\'\nmodule logic_0 (\n ^~~~~~~\n : ... Top module \'logic_1\'\nmodule logic_1 (\n ^~~~~~~\n : ... Top module \'gclkbuff\'\nmodule gclkbuff (\n ^~~~~~~~\n%Error: Exiting due to 1 warning(s)\n'
231,498
module
module inv ( output Q, input A ); assign Q = A ? 0 : 1; endmodule
module inv ( output Q, input A );
assign Q = A ? 0 : 1; endmodule
0
26
data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v
460,468,583
cells_sim.v
v
37
24
[]
[]
[]
null
line:32: before: "="
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v:8: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'inv\'\nmodule inv (\n ^~~\n : ... Top module \'buff\'\nmodule buff (\n ^~~~\n : ... Top module \'logic_0\'\nmodule logic_0 (\n ^~~~~~~\n : ... Top module \'logic_1\'\nmodule logic_1 (\n ^~~~~~~\n : ... Top module \'gclkbuff\'\nmodule gclkbuff (\n ^~~~~~~~\n%Error: Exiting due to 1 warning(s)\n'
231,498
module
module buff ( output Q, input A ); assign Q = A; endmodule
module buff ( output Q, input A );
assign Q = A; endmodule
0
27
data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v
460,468,583
cells_sim.v
v
37
24
[]
[]
[]
null
line:32: before: "="
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v:8: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'inv\'\nmodule inv (\n ^~~\n : ... Top module \'buff\'\nmodule buff (\n ^~~~\n : ... Top module \'logic_0\'\nmodule logic_0 (\n ^~~~~~~\n : ... Top module \'logic_1\'\nmodule logic_1 (\n ^~~~~~~\n : ... Top module \'gclkbuff\'\nmodule gclkbuff (\n ^~~~~~~~\n%Error: Exiting due to 1 warning(s)\n'
231,498
module
module logic_0 ( output A ); assign A = 0; endmodule
module logic_0 ( output A );
assign A = 0; endmodule
0
28
data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v
460,468,583
cells_sim.v
v
37
24
[]
[]
[]
null
line:32: before: "="
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v:8: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'inv\'\nmodule inv (\n ^~~\n : ... Top module \'buff\'\nmodule buff (\n ^~~~\n : ... Top module \'logic_0\'\nmodule logic_0 (\n ^~~~~~~\n : ... Top module \'logic_1\'\nmodule logic_1 (\n ^~~~~~~\n : ... Top module \'gclkbuff\'\nmodule gclkbuff (\n ^~~~~~~~\n%Error: Exiting due to 1 warning(s)\n'
231,498
module
module logic_1 ( output A ); assign A = 1; endmodule
module logic_1 ( output A );
assign A = 1; endmodule
0
29
data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v
460,468,583
cells_sim.v
v
37
24
[]
[]
[]
null
line:32: before: "="
null
1: b'%Warning-MULTITOP: data/full_repos/permissive/460468583/data/yosys/quicklogic/cells_sim.v:8: Multiple top level modules\n : ... Suggest see manual; fix the duplicates, or use --top-module to select top.\n ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.\n : ... Top module \'inv\'\nmodule inv (\n ^~~\n : ... Top module \'buff\'\nmodule buff (\n ^~~~\n : ... Top module \'logic_0\'\nmodule logic_0 (\n ^~~~~~~\n : ... Top module \'logic_1\'\nmodule logic_1 (\n ^~~~~~~\n : ... Top module \'gclkbuff\'\nmodule gclkbuff (\n ^~~~~~~~\n%Error: Exiting due to 1 warning(s)\n'
231,498
module
module gclkbuff ( input A, output Z ); specify (A => Z) = 0; endspecify assign Z = A; endmodule
module gclkbuff ( input A, output Z );
specify (A => Z) = 0; endspecify assign Z = A; endmodule
0
30
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module NUBZero_16_16(O); output [16:16] O; assign O[16] = 0; endmodule
module NUBZero_16_16(O);
output [16:16] O; assign O[16] = 0; endmodule
0
31
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEEL_0_2(O_ds, O_d1, O_d0, I2, I1); output O_ds, O_d1, O_d0; input I1; input I2; assign O_d0 = I1; assign O_d1 = I2 & ( ~ I1 ); assign O_ds = I2; endmodule
module R4BEEL_0_2(O_ds, O_d1, O_d0, I2, I1);
output O_ds, O_d1, O_d0; input I1; input I2; assign O_d0 = I1; assign O_d1 = I2 & ( ~ I1 ); assign O_ds = I2; endmodule
0
32
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_1(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_1(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
33
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_2(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_2(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
34
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_3(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_3(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
35
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_4(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_4(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
36
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_5(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_5(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
37
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_6(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_6(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
38
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEE_7(O_ds, O_d1, O_d0, I2, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
module R4BEE_7(O_ds, O_d1, O_d0, I2, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; input I2; assign O_d0 = I1 ^ I0; assign O_d1 = ( I2 ^ I1 ) & ( ~ ( I1 ^ I0 ) ); assign O_ds = I2; endmodule
0
39
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module R4BEEH_8_2(O_ds, O_d1, O_d0, I1, I0); output O_ds, O_d1, O_d0; input I0; input I1; assign O_d0 = I1 ^ I0; assign O_d1 = 0; assign O_ds = I1; endmodule
module R4BEEH_8_2(O_ds, O_d1, O_d0, I1, I0);
output O_ds, O_d1, O_d0; input I0; input I1; assign O_d0 = I1 ^ I0; assign O_d1 = 0; assign O_ds = I1; endmodule
0
40
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module SD41DDECON_0(S, U_d1, U_d0, I_ds, I_d1, I_d0); output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
module SD41DDECON_0(S, U_d1, U_d0, I_ds, I_d1, I_d0);
output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
0
41
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPGL_0_0(Po, O, I, U_d1, U_d0); output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
module U4DPPGL_0_0(Po, O, I, U_d1, U_d0);
output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
0
42
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_1_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_1_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
43
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_2_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_2_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
44
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_3_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_3_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
45
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_4_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_4_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
46
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_5_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_5_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
47
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_6_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_6_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
48
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_7_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_7_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
49
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_8_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_8_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
50
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_9_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_9_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
51
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_10_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_10_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
52
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_11_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_11_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
53
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_12_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_12_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
54
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_13_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_13_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
55
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_14_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_14_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
56
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_15_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_15_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
57
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPGH_16_0(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & ( U_d1 | U_d0 ); endmodule
module U4DPPGH_16_0(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & ( U_d1 | U_d0 ); endmodule
0
58
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_0(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_0(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
59
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_1(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_1(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
60
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_2(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_2(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
61
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_3(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_3(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
62
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_4(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_4(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
63
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_5(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_5(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
64
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_6(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_6(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
65
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_7(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_7(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
66
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_8(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_8(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
67
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_9(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_9(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
68
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_10(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_10(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
69
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_11(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_11(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
70
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_12(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_12(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
71
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_13(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_13(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
72
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_14(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_14(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
73
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_15(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_15(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
74
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_16(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_16(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
75
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCNP_17(O, I, S); output O; input I; input S; assign O = ( ~ S ) ^ I; endmodule
module BWCNP_17(O, I, S);
output O; input I; input S; assign O = ( ~ S ) ^ I; endmodule
0
76
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBBBG_0(O, S); output O; input S; assign O = S; endmodule
module UBBBG_0(O, S);
output O; input S; assign O = S; endmodule
0
77
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module SD41DDECON_1(S, U_d1, U_d0, I_ds, I_d1, I_d0); output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
module SD41DDECON_1(S, U_d1, U_d0, I_ds, I_d1, I_d0);
output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
0
78
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPGL_0_1(Po, O, I, U_d1, U_d0); output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
module U4DPPGL_0_1(Po, O, I, U_d1, U_d0);
output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
0
79
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_1_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_1_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
80
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_2_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_2_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
81
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_3_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_3_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
82
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_4_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_4_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
83
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_5_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_5_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
84
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_6_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_6_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
85
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_7_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_7_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
86
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_8_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_8_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
87
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_9_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_9_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
88
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_10_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_10_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
89
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_11_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_11_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
90
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_12_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_12_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
91
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_13_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_13_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
92
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_14_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_14_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
93
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_15_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_15_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
94
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPGH_16_1(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & ( U_d1 | U_d0 ); endmodule
module U4DPPGH_16_1(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & ( U_d1 | U_d0 ); endmodule
0
95
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_17(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_17(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
96
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCPP_18(O, I, S); output O; input I; input S; assign O = S ^ I; endmodule
module BWCPP_18(O, I, S);
output O; input I; input S; assign O = S ^ I; endmodule
0
97
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module BWCNP_19(O, I, S); output O; input I; input S; assign O = ( ~ S ) ^ I; endmodule
module BWCNP_19(O, I, S);
output O; input I; input S; assign O = ( ~ S ) ^ I; endmodule
0
98
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBBBG_2(O, S); output O; input S; assign O = S; endmodule
module UBBBG_2(O, S);
output O; input S; assign O = S; endmodule
0
99
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module SD41DDECON_2(S, U_d1, U_d0, I_ds, I_d1, I_d0); output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
module SD41DDECON_2(S, U_d1, U_d0, I_ds, I_d1, I_d0);
output S; output U_d1, U_d0; input I_ds, I_d1, I_d0; assign S = I_ds; assign U_d0 = I_d0; assign U_d1 = I_d1; endmodule
0
100
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPGL_0_2(Po, O, I, U_d1, U_d0); output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
module U4DPPGL_0_2(Po, O, I, U_d1, U_d0);
output O; output Po; input I; input U_d1, U_d0; assign O = I & U_d0; assign Po = I & U_d1; endmodule
0
101
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_1_2(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_1_2(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
102
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_2_2(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_2_2(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0
103
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module U4DPPG_3_2(Po, O, I, U_d1, U_d0, Pi); output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
module U4DPPG_3_2(Po, O, I, U_d1, U_d0, Pi);
output O; output Po; input I; input Pi; input U_d1, U_d0; assign O = ( I & U_d0 ) | Pi; assign Po = I & U_d1; endmodule
0